Skip to main content
Top

2024 | OriginalPaper | Chapter

5. Rapid Single Flux Quantum (RSFQ) Circuits

Authors : Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Published in: Single Flux Quantum Integrated Circuit Design

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In this chapter, the most popular type of superconductive digital logic—rapid single flux quantum (RSFQ) logic—is described. The basic building blocks of digital RSFQ circuits are reviewed in this chapter. Different types of transmission lines for this technology—JTL and PTL—are introduced, and the advantages and disadvantages of these interconnect structures are discussed. Certain basic structures of RSFQ circuits, flux storage loops, balanced comparators, and buffers, are described here as a framework to demonstrate the operation of more complex gates. Bias current distribution in RSFQ circuits is also discussed in this chapter. Resistive bias distribution, commonly used in RSFQ circuits, is described, and the disadvantages of this approach are reviewed. Energy-efficient modifications to RSFQ logic to reduce or eliminate static power dissipation are also introduced, along with the advantages and disadvantages of these different circuit topologies. In particular, the ERSFQ bias scheme, which utilizes inductive current distribution with JJs as current regulators and feeding JTLs as voltage references, is introduced.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
22.
go back to reference T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef
25.
go back to reference K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef
37.
go back to reference O.A. Mukhanov, Energy-efficient single flux quantum technology. IEEE Trans. Appl. Supercond. 21(3), 760–769 (2011)CrossRef O.A. Mukhanov, Energy-efficient single flux quantum technology. IEEE Trans. Appl. Supercond. 21(3), 760–769 (2011)CrossRef
38.
go back to reference S. Whiteley, E. Mlinar, G. Krylov, T. Jabbari, E.G. Friedman, J. Kawa, An SFQ digital circuit technology with fully-passive transmission line interconnect, in Proceedings of the Applied Superconductivity Conference (2020) S. Whiteley, E. Mlinar, G. Krylov, T. Jabbari, E.G. Friedman, J. Kawa, An SFQ digital circuit technology with fully-passive transmission line interconnect, in Proceedings of the Applied Superconductivity Conference (2020)
39.
go back to reference T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019) T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019)
41.
go back to reference T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7 T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7
42.
go back to reference T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020) T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)
47.
go back to reference T. Jabbari, E.G. Friedman, SFQ/DQFP interface circuits. IEEE Trans. Appl. Supercond. 33(5), 1–5 (2023) T. Jabbari, E.G. Friedman, SFQ/DQFP interface circuits. IEEE Trans. Appl. Supercond. 33(5), 1–5 (2023)
56.
go back to reference T. Jabbari, M. Bocko, E.G. Friedman, All-JJ logic based on bistable JJs. IEEE Trans. Appl. Supercond. 33(5), 1–7 (2023) T. Jabbari, M. Bocko, E.G. Friedman, All-JJ logic based on bistable JJs. IEEE Trans. Appl. Supercond. 33(5), 1–7 (2023)
57.
go back to reference T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759 T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759
58.
go back to reference R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef
59.
go back to reference T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5 T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5
60.
go back to reference T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021) T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021)
61.
go back to reference T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef
63.
go back to reference T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023) T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023)
65.
go back to reference T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5 T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5
87.
go back to reference T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022) T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022)
98.
go back to reference G. Krylov, E.G. Friedman, Sense amplifier for spin-based cryogenic memory cells. IEEE Trans. Appl. Supercond. 29(5), 1–4 (2019). Art no. 1501804 G. Krylov, E.G. Friedman, Sense amplifier for spin-based cryogenic memory cells. IEEE Trans. Appl. Supercond. 29(5), 1–4 (2019). Art no. 1501804
104.
go back to reference G. Krylov, E.G. Friedman, Behavioral verilog-A model of superconductor-ferromagnetic transistor, in Proceedings of the IEEE International Symposium on Circuits and Systems (2018) G. Krylov, E.G. Friedman, Behavioral verilog-A model of superconductor-ferromagnetic transistor, in Proceedings of the IEEE International Symposium on Circuits and Systems (2018)
110.
go back to reference G. Krylov, E.G. Friedman, Partitioning RSFQ circuits for current recycling. IEEE Trans. Appl. Supercond. 31(5), 1–6 (2021)CrossRef G. Krylov, E.G. Friedman, Partitioning RSFQ circuits for current recycling. IEEE Trans. Appl. Supercond. 31(5), 1–6 (2021)CrossRef
111.
go back to reference S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef
131.
go back to reference G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020) G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020)
132.
go back to reference G. Krylov, E.G. Friedman, Asynchronous dynamic single flux quantum majority gates. IEEE Trans. Appl. Supercond. 30(5), 1–7 (2020). Art no. 1300907 G. Krylov, E.G. Friedman, Asynchronous dynamic single flux quantum majority gates. IEEE Trans. Appl. Supercond. 30(5), 1–7 (2020). Art no. 1300907
145.
go back to reference H. Kumar, T. Jabbari, G. Krylov, K. Basu, E.G. Friedman, R. Karri, Toward increasing the difficulty of reverse engineering of RSFQ circuits. IEEE Trans. Appl. Supercond. 30(3), 1–13 (2020)CrossRef H. Kumar, T. Jabbari, G. Krylov, K. Basu, E.G. Friedman, R. Karri, Toward increasing the difficulty of reverse engineering of RSFQ circuits. IEEE Trans. Appl. Supercond. 30(3), 1–13 (2020)CrossRef
147.
go back to reference Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)CrossRef Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)CrossRef
148.
go back to reference T. Jabbari, H. Zandi, F. Foroughi, A. Bozbey, M. Fardmanesh, Investigation of readout cell configuration and parameters on functionality and stability of bi-directional RSFQ TFF. IEEE Trans. Appl. Supercond. 26(3), 1–5 (2016)CrossRef T. Jabbari, H. Zandi, F. Foroughi, A. Bozbey, M. Fardmanesh, Investigation of readout cell configuration and parameters on functionality and stability of bi-directional RSFQ TFF. IEEE Trans. Appl. Supercond. 26(3), 1–5 (2016)CrossRef
149.
go back to reference G. Krylov, E.G. Friedman, Bias distribution in ERSFQ VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2020), pp. 1–5 G. Krylov, E.G. Friedman, Bias distribution in ERSFQ VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2020), pp. 1–5
150.
go back to reference G. Krylov, E.G. Friedman, Bias networks for high complexity energy efficient single flux quantum circuits, in Proceedings of the Government Microcircuit Applications & Critical Technology Conference (2020) G. Krylov, E.G. Friedman, Bias networks for high complexity energy efficient single flux quantum circuits, in Proceedings of the Government Microcircuit Applications & Critical Technology Conference (2020)
151.
go back to reference T. Jabbari, H. Zandi, M. Fardmanesh, Frequency limitation due to switching transition of the bias current in bidirectional RSFQ logic. J. Supercond. Novel Magn. 30, 3619–3624 (2017)CrossRef T. Jabbari, H. Zandi, M. Fardmanesh, Frequency limitation due to switching transition of the bias current in bidirectional RSFQ logic. J. Supercond. Novel Magn. 30, 3619–3624 (2017)CrossRef
159.
go back to reference G. Krylov, E.G. Friedman, Design for testability of SFQ circuits. IEEE Trans. Appl. Supercond. 27(8), 1–7 (2017)CrossRef G. Krylov, E.G. Friedman, Design for testability of SFQ circuits. IEEE Trans. Appl. Supercond. 27(8), 1–7 (2017)CrossRef
161.
go back to reference K.K. Likharev, O.A. Mukhanov, V.K. Semenov, Resistive single flux quantum logic for the Josephson-junction digital technology, in Proceedings of the Third International Conference on Superconducting Quantum Devices (1985), pp. 1103–1108 K.K. Likharev, O.A. Mukhanov, V.K. Semenov, Resistive single flux quantum logic for the Josephson-junction digital technology, in Proceedings of the Third International Conference on Superconducting Quantum Devices (1985), pp. 1103–1108
200.
go back to reference O.A. Mukhanov, D. Kirichenko, I.V. Vernik, T.V. Filippov, A. Kirichenko, R. Webber, V. Dotsenko, A. Talalaevskii, J.C. Tang, S.A.H.U. Anubhav, P. Shevchenko, Superconductor digital-RF receiver systems. IEICE Trans. Electron. 91(3), 306–317 (2008)CrossRef O.A. Mukhanov, D. Kirichenko, I.V. Vernik, T.V. Filippov, A. Kirichenko, R. Webber, V. Dotsenko, A. Talalaevskii, J.C. Tang, S.A.H.U. Anubhav, P. Shevchenko, Superconductor digital-RF receiver systems. IEICE Trans. Electron. 91(3), 306–317 (2008)CrossRef
201.
go back to reference A. Fujimaki, M. Tanaka, T. Yamada, Y. Yamanashi, H. Park, N. Yoshikawa, Bit-serial single flux quantum microprocessor CORE. IEICE Trans. Electron. 91(3), 342–349 (2008)CrossRef A. Fujimaki, M. Tanaka, T. Yamada, Y. Yamanashi, H. Park, N. Yoshikawa, Bit-serial single flux quantum microprocessor CORE. IEICE Trans. Electron. 91(3), 342–349 (2008)CrossRef
203.
go back to reference A.F. Kirichenko, I.V. Vernik, M.Y. Kamkar, J. Walter, M. Miller, L.R. Albu, O.A. Mukhanov, ERSFQ 8-bit parallel arithmetic logic unit. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019) A.F. Kirichenko, I.V. Vernik, M.Y. Kamkar, J. Walter, M. Miller, L.R. Albu, O.A. Mukhanov, ERSFQ 8-bit parallel arithmetic logic unit. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)
204.
go back to reference V.K. Semenov, Y.A. Polyakov, S.K. Tolpygo, AC-biased shift registers as fabrication process benchmark circuits and flux trapping diagnostic tool. IEEE Trans. Appl. Supercond. 27(4), 1–9 (2017)CrossRef V.K. Semenov, Y.A. Polyakov, S.K. Tolpygo, AC-biased shift registers as fabrication process benchmark circuits and flux trapping diagnostic tool. IEEE Trans. Appl. Supercond. 27(4), 1–9 (2017)CrossRef
205.
go back to reference B. Dimov, V. Todorov, V. Mladenov, F.H. Uhlmann, Optimal signal propagation speed of a Josephson transmission line. Supercond. Sci. Technol. 17(6), 819–822 (2004)CrossRef B. Dimov, V. Todorov, V. Mladenov, F.H. Uhlmann, Optimal signal propagation speed of a Josephson transmission line. Supercond. Sci. Technol. 17(6), 819–822 (2004)CrossRef
206.
go back to reference A.V. Rylyakov, Ultra-low-power RSFQ devices and digital autocorrelation of broadband signals, Ph.D. Dissertation, State University of New York at Stony Brook, New York, 1997 A.V. Rylyakov, Ultra-low-power RSFQ devices and digital autocorrelation of broadband signals, Ph.D. Dissertation, State University of New York at Stony Brook, New York, 1997
208.
go back to reference T.Y. Hsiang, J.F. Whitaker, R. Sobolewski, D.R. Dykaar, G.A. Mourou, Propagation characteristics of picosecond electrical transients on coplanar striplines. Appl. Phys. Lett. 51(19), 1551–1553 (1987)CrossRef T.Y. Hsiang, J.F. Whitaker, R. Sobolewski, D.R. Dykaar, G.A. Mourou, Propagation characteristics of picosecond electrical transients on coplanar striplines. Appl. Phys. Lett. 51(19), 1551–1553 (1987)CrossRef
209.
go back to reference Y. Hashimoto, S. Yorozu, Y. Kameda, A. Fujimaki, H. Terai, N. Yoshikawa, Design and investigation of gate-to-gate passive interconnections for SFQ logic circuits. IEEE Trans. Appl. Supercond. 15(3), 3814–3820 (2005)CrossRef Y. Hashimoto, S. Yorozu, Y. Kameda, A. Fujimaki, H. Terai, N. Yoshikawa, Design and investigation of gate-to-gate passive interconnections for SFQ logic circuits. IEEE Trans. Appl. Supercond. 15(3), 3814–3820 (2005)CrossRef
210.
go back to reference S.V. Polonsky, V.K. Semenov, D.F. Schneider, Transmission of single-flux-quantum pulses along superconducting microstrip lines. IEEE Trans. Appl. Supercond. 3(1), 2598–2600 (1993)CrossRef S.V. Polonsky, V.K. Semenov, D.F. Schneider, Transmission of single-flux-quantum pulses along superconducting microstrip lines. IEEE Trans. Appl. Supercond. 3(1), 2598–2600 (1993)CrossRef
211.
go back to reference L. Schindler, P. l. Roux, C.J. Fourie, Impedance matching of passive transmission line receivers to improve reflections between RSFQ logic cells. IEEE Trans. Appl. Supercond. 30(2), 1–7 (2020) L. Schindler, P. l. Roux, C.J. Fourie, Impedance matching of passive transmission line receivers to improve reflections between RSFQ logic cells. IEEE Trans. Appl. Supercond. 30(2), 1–7 (2020)
212.
go back to reference H. Suzuki, S. Nagasawa, K. Miyahara, Y. Enomoto, Characteristics of driver and receiver circuits with a passive transmission line in RSFQ circuits. IEEE Trans. Appl. Supercond. 10(3), 1637–1641 (2000)CrossRef H. Suzuki, S. Nagasawa, K. Miyahara, Y. Enomoto, Characteristics of driver and receiver circuits with a passive transmission line in RSFQ circuits. IEEE Trans. Appl. Supercond. 10(3), 1637–1641 (2000)CrossRef
213.
go back to reference S. Razmkhah, A. Bozbey, Design of the passive transmission lines for different stripline widths and impedances. IEEE Trans. Appl. Supercond. 26(8), 1–6 (2016)CrossRef S. Razmkhah, A. Bozbey, Design of the passive transmission lines for different stripline widths and impedances. IEEE Trans. Appl. Supercond. 26(8), 1–6 (2016)CrossRef
214.
go back to reference T.V. Filippov, V.K. Kornev, Sensitivity of the balanced Josephson-junction comparator. IEEE Trans. Magn. 27(2), 2452–2455 (1991)CrossRef T.V. Filippov, V.K. Kornev, Sensitivity of the balanced Josephson-junction comparator. IEEE Trans. Magn. 27(2), 2452–2455 (1991)CrossRef
215.
go back to reference T.V. Filippov, A. Sahu, M.E. Çelik, D.E. Kirichenko, D. Gupta, The Josephson balanced comparator and its gray zone measurements, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3 T.V. Filippov, A. Sahu, M.E. Çelik, D.E. Kirichenko, D. Gupta, The Josephson balanced comparator and its gray zone measurements, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3
216.
go back to reference G. Krylov, E.G. Friedman, Globally asynchronous, locally synchronous clocking and shared interconnect for large-scale SFQ systems. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019) G. Krylov, E.G. Friedman, Globally asynchronous, locally synchronous clocking and shared interconnect for large-scale SFQ systems. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019)
217.
go back to reference G. Krylov, E.G. Friedman, Test point insertion for RSFQ circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2017), pp. 2022–2025 G. Krylov, E.G. Friedman, Test point insertion for RSFQ circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2017), pp. 2022–2025
218.
go back to reference D.E. Muller, W.S. Bartky, A theory of asynchronous circuits, in Proceedings of the International Symposium on the Theory of Switching (1959), pp. 204–243 D.E. Muller, W.S. Bartky, A theory of asynchronous circuits, in Proceedings of the International Symposium on the Theory of Switching (1959), pp. 204–243
219.
go back to reference G. Di Crescenzo, A. Sengupta, O. Sinanoglu, M. Yasin, Innovative Security Solutions for Information Technology and Communications (Springer International Publishing, Berlin, 2018) G. Di Crescenzo, A. Sengupta, O. Sinanoglu, M. Yasin, Innovative Security Solutions for Information Technology and Communications (Springer International Publishing, Berlin, 2018)
220.
go back to reference D.E. Kirichenko, S. Sarwana, A.F. Kirichenko, Zero static power dissipation biasing of RSFQ circuits. IEEE Trans. Appl. Supercond. 21(3), 776–779 (2011)CrossRef D.E. Kirichenko, S. Sarwana, A.F. Kirichenko, Zero static power dissipation biasing of RSFQ circuits. IEEE Trans. Appl. Supercond. 21(3), 776–779 (2011)CrossRef
221.
go back to reference N. Yoshikawa, Y. Kato, Reduction of power consumption of RSFQ circuits by inductance-load biasing. Supercond. Sci. Technol. 12(11), 918–920 (1999)CrossRef N. Yoshikawa, Y. Kato, Reduction of power consumption of RSFQ circuits by inductance-load biasing. Supercond. Sci. Technol. 12(11), 918–920 (1999)CrossRef
222.
go back to reference S. Polonsky, Delay insensitive RSFQ circuits with zero static power dissipation. IEEE Trans. Appl. Supercond. 9(2), 3535–3538 (1999)CrossRef S. Polonsky, Delay insensitive RSFQ circuits with zero static power dissipation. IEEE Trans. Appl. Supercond. 9(2), 3535–3538 (1999)CrossRef
223.
go back to reference P. Patra, S. Polonsky, D.S. Fussell, Delay insensitive logic for RSFQ superconductor technology, in Proceedings of the IEEE International Symposium on Advanced Research in Asynchronous Circuits and Systems (1997), pp. 42–53 P. Patra, S. Polonsky, D.S. Fussell, Delay insensitive logic for RSFQ superconductor technology, in Proceedings of the IEEE International Symposium on Advanced Research in Asynchronous Circuits and Systems (1997), pp. 42–53
224.
go back to reference S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef
225.
go back to reference C. Shawawreh, D. Amparo, J. Ren, M. Miller, M.Y. Kamkar, A. Sahu, A. Inamdar, A.F. Kirichenko, O.A. Mukhanov, I.V. Vernik, Effects of adaptive DC biasing on operational margins in ERSFQ circuits. IEEE Trans. Appl. Supercond. 27(4), 1–6 (2017)CrossRef C. Shawawreh, D. Amparo, J. Ren, M. Miller, M.Y. Kamkar, A. Sahu, A. Inamdar, A.F. Kirichenko, O.A. Mukhanov, I.V. Vernik, Effects of adaptive DC biasing on operational margins in ERSFQ circuits. IEEE Trans. Appl. Supercond. 27(4), 1–6 (2017)CrossRef
229.
go back to reference G. Li, J. Ren, Y. Wu, L. Ying, M. Niu, L. Chen, Z. Wang, Research on the bias network of energy-efficient single flux quantum circuits. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019) G. Li, J. Ren, Y. Wu, L. Ying, M. Niu, L. Chen, Z. Wang, Research on the bias network of energy-efficient single flux quantum circuits. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019)
230.
go back to reference M.H. Volkmann, A. Sahu, C.J. Fourie, O.A. Mukhanov, Implementation of energy efficient single flux quantum digital circuits with sub-aJ/bit operation. Supercond. Sci. Technol. 26(1), 015002 (2012) M.H. Volkmann, A. Sahu, C.J. Fourie, O.A. Mukhanov, Implementation of energy efficient single flux quantum digital circuits with sub-aJ/bit operation. Supercond. Sci. Technol. 26(1), 015002 (2012)
Metadata
Title
Rapid Single Flux Quantum (RSFQ) Circuits
Authors
Gleb Krylov
Tahereh Jabbari
Eby G. Friedman
Copyright Year
2024
DOI
https://doi.org/10.1007/978-3-031-47475-0_5