Skip to main content
Erschienen in:
Buchtitelbild

2024 | OriginalPaper | Buchkapitel

1. Introduction

verfasst von : Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Erschienen in: Single Flux Quantum Integrated Circuit Design

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In this chapter, superconductive electronics are introduced as a promising beyond-CMOS technology. The history of superconductive electronic circuits is briefly reviewed, highlighting similarities and differences in the development of semiconductor-based electronics. Modern computing systems are often categorized based on the total power consumption. The increasing importance of large-scale, stationary computing systems is emphasized. The advantages of superconductive electronics for this important application area are described. Additional application areas, such as space-based electronics and quantum computing, are also introduced. Finally, the rest of this book is outlined.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat H.K. Onnes, Investigations into the properties of substances at low temperatures, which have led, amongst Other things, to the preparation of liquid helium, in Nobel Lecture, vol. 4 (1913) H.K. Onnes, Investigations into the properties of substances at low temperatures, which have led, amongst Other things, to the preparation of liquid helium, in Nobel Lecture, vol. 4 (1913)
2.
Zurück zum Zitat T. Jenkins, A brief history of…semiconductors. Phys. Educ. 40(5), 430 (2005) T. Jenkins, A brief history of…semiconductors. Phys. Educ. 40(5), 430 (2005)
3.
Zurück zum Zitat J. Bardeen, W.H. Brattain, The transistor, a semi-conductor triode. Phys. Rev. 74(2), 230 (1948) J. Bardeen, W.H. Brattain, The transistor, a semi-conductor triode. Phys. Rev. 74(2), 230 (1948)
4.
Zurück zum Zitat L.J. Lilienfeld, Method and apparatus for controlling electric currents. U.S. Patent, No. 1,745,175A, 22 Oct 1925 L.J. Lilienfeld, Method and apparatus for controlling electric currents. U.S. Patent, No. 1,745,175A, 22 Oct 1925
5.
Zurück zum Zitat D.A. Buck, The cryotron – a superconductive computer component. Proc. IRE 44(4), 482–493 (1956)CrossRef D.A. Buck, The cryotron – a superconductive computer component. Proc. IRE 44(4), 482–493 (1956)CrossRef
6.
Zurück zum Zitat Cryotrons May Lead to Computers Cubic Foot in Size. The New York Times, p. 1 (1957) Cryotrons May Lead to Computers Cubic Foot in Size. The New York Times, p. 1 (1957)
7.
Zurück zum Zitat K.K. Likharev, Superconductor digital electronics, Physica C 482, 6–18 (2012)CrossRef K.K. Likharev, Superconductor digital electronics, Physica C 482, 6–18 (2012)CrossRef
8.
Zurück zum Zitat J.S Kilby, Miniaturized electronic circuits. U.S. Patent, No. 3,138,743, 23 June (1964) J.S Kilby, Miniaturized electronic circuits. U.S. Patent, No. 3,138,743, 23 June (1964)
9.
Zurück zum Zitat J. Bremer, The invention of superconducting integrated circuit. IEEE Hist. Center Newsl. 75, 6–7 (2007) J. Bremer, The invention of superconducting integrated circuit. IEEE Hist. Center Newsl. 75, 6–7 (2007)
10.
Zurück zum Zitat D.L. Shell, The share 709 system: a cooperative effort. J. ACM 6(2), 123–127 (1959)CrossRef D.L. Shell, The share 709 system: a cooperative effort. J. ACM 6(2), 123–127 (1959)CrossRef
11.
Zurück zum Zitat J. Raymond, D.K. Banerji, Using a microprocessor in an intelligent graphics terminal. Computer 9(4), 18–25 (1976)CrossRef J. Raymond, D.K. Banerji, Using a microprocessor in an intelligent graphics terminal. Computer 9(4), 18–25 (1976)CrossRef
12.
Zurück zum Zitat G.E. Moore, Cramming more components onto integrated circuits. Electronics 38(8), 114–117 (1965) G.E. Moore, Cramming more components onto integrated circuits. Electronics 38(8), 114–117 (1965)
13.
Zurück zum Zitat B.D. Josephson, Possible new effects in superconductive tunneling. Phys. Lett. 1(7), 251–253 (1962)CrossRef B.D. Josephson, Possible new effects in superconductive tunneling. Phys. Lett. 1(7), 251–253 (1962)CrossRef
14.
Zurück zum Zitat H.H. Zappe, K.R. Grebe, Dynamic behavior of Josephson tunnel junctions in the subnanosecond range. J. Appl. Phys. 44(2), 865–874 (1973)CrossRef H.H. Zappe, K.R. Grebe, Dynamic behavior of Josephson tunnel junctions in the subnanosecond range. J. Appl. Phys. 44(2), 865–874 (1973)CrossRef
15.
Zurück zum Zitat R.L. Van Tuyl, C.A. Liechti, R.E. Lee, E. Gowen, GaAs MESFET logic with 4-GHz clock rate. IEEE J. Solid-State Circuits 12(5), 485–496 (1977)CrossRef R.L. Van Tuyl, C.A. Liechti, R.E. Lee, E. Gowen, GaAs MESFET logic with 4-GHz clock rate. IEEE J. Solid-State Circuits 12(5), 485–496 (1977)CrossRef
16.
Zurück zum Zitat L. Esaki, New phenomenon in narrow germanium \(p{-}n\) junctions. Phys. Rev. 109, 603–604 (1958) L. Esaki, New phenomenon in narrow germanium \(p{-}n\) junctions. Phys. Rev. 109, 603–604 (1958)
17.
Zurück zum Zitat Y. Hazoni, A fast flip-flop circuit utilizing tunnel-diodes. Nucl. Instrum. Methods 13, 95–96 (1961)CrossRef Y. Hazoni, A fast flip-flop circuit utilizing tunnel-diodes. Nucl. Instrum. Methods 13, 95–96 (1961)CrossRef
18.
Zurück zum Zitat W.J. Gallagher, E.P. Harris, M.B. Ketchen, Superconductivity at IBM – a centennial review: part I – superconducting computer and device applications, in Proceedings of the IEEE/CSC ESAS European Superconductivity News Forum, vol. 21 (2012), pp. 1–34 W.J. Gallagher, E.P. Harris, M.B. Ketchen, Superconductivity at IBM – a centennial review: part I – superconducting computer and device applications, in Proceedings of the IEEE/CSC ESAS European Superconductivity News Forum, vol. 21 (2012), pp. 1–34
19.
Zurück zum Zitat H. Nakagawa, I. Kurosawa, M. Aoyagi, S. Kosaka, Y. Hamazaki, Y. Okada, S. Takada, A 4-bit Josephson computer ETL-JC1. IEEE Trans. Appl. Supercond. 1(1), 37–47 (1991)CrossRef H. Nakagawa, I. Kurosawa, M. Aoyagi, S. Kosaka, Y. Hamazaki, Y. Okada, S. Takada, A 4-bit Josephson computer ETL-JC1. IEEE Trans. Appl. Supercond. 1(1), 37–47 (1991)CrossRef
20.
Zurück zum Zitat D.C. Brock, Will the NSA finally build its superconducting spy computer? IEEE Spectr. 8 (2016) D.C. Brock, Will the NSA finally build its superconducting spy computer? IEEE Spectr. 8 (2016)
21.
Zurück zum Zitat J.G. Bednorz, K.A. Müller, Possible high \(T_c\) superconductivity in the Ba–La–Cu–O system. Zeitschrift für Phy. B Condens. Matter 64(2), 189–193 (1986) J.G. Bednorz, K.A. Müller, Possible high \(T_c\) superconductivity in the Ba–La–Cu–O system. Zeitschrift für Phy. B Condens. Matter 64(2), 189–193 (1986)
22.
Zurück zum Zitat T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef
24.
Zurück zum Zitat H. Toepfer, T. Ortlepp, H.F. Uhlmann, D. Cassel, M. Siegel, Design of HTS RSFQ circuits. Phys. C: Supercond. 392–396, 1420–1425 (2003)CrossRef H. Toepfer, T. Ortlepp, H.F. Uhlmann, D. Cassel, M. Siegel, Design of HTS RSFQ circuits. Phys. C: Supercond. 392–396, 1420–1425 (2003)CrossRef
25.
Zurück zum Zitat K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef
26.
Zurück zum Zitat M. Hosoya, W. Hioe, J. Casas, R. Kamikawai, Y. Harada, Y. Wada, H. Nakane, R. Suda, E. Goto, Quantum flux parametron: a single quantum flux device for Josephson supercomputer. IEEE Trans. Appl. Supercond. 1(2), 77–89 (1991)CrossRef M. Hosoya, W. Hioe, J. Casas, R. Kamikawai, Y. Harada, Y. Wada, H. Nakane, R. Suda, E. Goto, Quantum flux parametron: a single quantum flux device for Josephson supercomputer. IEEE Trans. Appl. Supercond. 1(2), 77–89 (1991)CrossRef
27.
Zurück zum Zitat A. Rufenacht, N. Flowers-Jacobs, S. Benz, Impact of the latest generation of Josephson voltage standards in AC and DC electric metrology. Metrologia 55(5), S152–S173 (2018)CrossRef A. Rufenacht, N. Flowers-Jacobs, S. Benz, Impact of the latest generation of Josephson voltage standards in AC and DC electric metrology. Metrologia 55(5), S152–S173 (2018)CrossRef
28.
Zurück zum Zitat D.S. Holmes, A.L. Ripple, M.A. Manheimer, Energy-efficient superconducting computing—power budgets and requirements. IEEE Trans. Appl. Supercond. 23(3), 1701610 (2013) D.S. Holmes, A.L. Ripple, M.A. Manheimer, Energy-efficient superconducting computing—power budgets and requirements. IEEE Trans. Appl. Supercond. 23(3), 1701610 (2013)
29.
Zurück zum Zitat M.A. Manheimer, Cryogenic computing complexity program: phase 1 introduction. IEEE Trans. Appl. Supercond. 25(3), 1–4 (2015)CrossRef M.A. Manheimer, Cryogenic computing complexity program: phase 1 introduction. IEEE Trans. Appl. Supercond. 25(3), 1–4 (2015)CrossRef
30.
Zurück zum Zitat R.K. Cavin, P. Lugli, V.V. Zhirnov, Science and engineering beyond Moore’s Law. Proc. IEEE 100(Special Centennial Issue), 1720–1749 (2012) R.K. Cavin, P. Lugli, V.V. Zhirnov, Science and engineering beyond Moore’s Law. Proc. IEEE 100(Special Centennial Issue), 1720–1749 (2012)
31.
Zurück zum Zitat H. Esmaeilzadeh, E. Blem, R.S. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling, in Proceedings of the ACM/IEEE Annual International Symposium on Computer Architecture (2011), pp. 365–376 H. Esmaeilzadeh, E. Blem, R.S. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling, in Proceedings of the ACM/IEEE Annual International Symposium on Computer Architecture (2011), pp. 365–376
32.
Zurück zum Zitat J.A. Hutchby, G.I. Bourianoff, V.V. Zhirnov, J.E. Brewer, Extending the road beyond CMOS. IEEE Circuits Devices Magn. 18(2), 28–41 (2002)CrossRef J.A. Hutchby, G.I. Bourianoff, V.V. Zhirnov, J.E. Brewer, Extending the road beyond CMOS. IEEE Circuits Devices Magn. 18(2), 28–41 (2002)CrossRef
34.
Zurück zum Zitat V.V. Dotsenko, A. Sahu, B. Chonigman, J. Tang, A.E. Lehmann, V. Gupta, A. Talalevskii, S. Ruotolo, S. Sarwana, R.J. Webber, D. Gupta, Integrated cryogenic electronics testbed (ICE-T) for evaluation of superconductor and cryo-semiconductor integrated circuits, in IOP Conference Series: Materials Science and Engineering, vol. 171 (2017), p. 012145 V.V. Dotsenko, A. Sahu, B. Chonigman, J. Tang, A.E. Lehmann, V. Gupta, A. Talalevskii, S. Ruotolo, S. Sarwana, R.J. Webber, D. Gupta, Integrated cryogenic electronics testbed (ICE-T) for evaluation of superconductor and cryo-semiconductor integrated circuits, in IOP Conference Series: Materials Science and Engineering, vol. 171 (2017), p. 012145
35.
Zurück zum Zitat J. Ekin, Experimental Techniques for Low-Temperature Measurements: Cryostat Design, Material Properties and Superconductor Critical-Current Testing (Oxford University Press, Oxford, 2006)CrossRef J. Ekin, Experimental Techniques for Low-Temperature Measurements: Cryostat Design, Material Properties and Superconductor Critical-Current Testing (Oxford University Press, Oxford, 2006)CrossRef
36.
Zurück zum Zitat R.L. Patterson, A. Hammoud, M. Elbuluk, Assessment of electronics for cryogenic space exploration missions. Cryogenics 46(2–3), 231–236 (2006)CrossRef R.L. Patterson, A. Hammoud, M. Elbuluk, Assessment of electronics for cryogenic space exploration missions. Cryogenics 46(2–3), 231–236 (2006)CrossRef
37.
Zurück zum Zitat O.A. Mukhanov, Energy-efficient single flux quantum technology. IEEE Trans. Appl. Supercond. 21(3), 760–769 (2011)CrossRef O.A. Mukhanov, Energy-efficient single flux quantum technology. IEEE Trans. Appl. Supercond. 21(3), 760–769 (2011)CrossRef
38.
Zurück zum Zitat S. Whiteley, E. Mlinar, G. Krylov, T. Jabbari, E.G. Friedman, J. Kawa, An SFQ digital circuit technology with fully-passive transmission line interconnect, in Proceedings of the Applied Superconductivity Conference (2020) S. Whiteley, E. Mlinar, G. Krylov, T. Jabbari, E.G. Friedman, J. Kawa, An SFQ digital circuit technology with fully-passive transmission line interconnect, in Proceedings of the Applied Superconductivity Conference (2020)
39.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019) T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019)
42.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020) T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)
43.
Zurück zum Zitat W. Chen, A.V. Rylyakov, V. Patel, J.E. Lukens, K.K. Likharev, Rapid single flux quantum T-flip flop operating up to 770 GHz. IEEE Trans. Appl. Supercond. 9(2), 3212–3215 (1999)CrossRef W. Chen, A.V. Rylyakov, V. Patel, J.E. Lukens, K.K. Likharev, Rapid single flux quantum T-flip flop operating up to 770 GHz. IEEE Trans. Appl. Supercond. 9(2), 3212–3215 (1999)CrossRef
44.
Zurück zum Zitat O.A. Mukhanov, D. Gupta, A.M. Kadin, V.K. Semenov, Superconductor analog-to-digital converters. Proc. IEEE 92(10), 1564–1584 (2004)CrossRef O.A. Mukhanov, D. Gupta, A.M. Kadin, V.K. Semenov, Superconductor analog-to-digital converters. Proc. IEEE 92(10), 1564–1584 (2004)CrossRef
45.
Zurück zum Zitat N. Takeuchi, Y. Yamanashi, N. Yoshikawa, Reversible logic gate using adiabatic superconducting devices. Sci. Rep. 4, 6354 (2014)CrossRef N. Takeuchi, Y. Yamanashi, N. Yoshikawa, Reversible logic gate using adiabatic superconducting devices. Sci. Rep. 4, 6354 (2014)CrossRef
47.
Zurück zum Zitat T. Jabbari, E.G. Friedman, SFQ/DQFP interface circuits. IEEE Trans. Appl. Supercond. 33(5), 1–5 (2023) T. Jabbari, E.G. Friedman, SFQ/DQFP interface circuits. IEEE Trans. Appl. Supercond. 33(5), 1–5 (2023)
48.
Zurück zum Zitat J.M. Lockhart, SQUID readout and ultra-low magnetic fields for gravity probe-B (GP-B). Proc. SPIE Cryog. Opt. Syst. Instrum. II 619, 148–156 (1986) J.M. Lockhart, SQUID readout and ultra-low magnetic fields for gravity probe-B (GP-B). Proc. SPIE Cryog. Opt. Syst. Instrum. II 619, 148–156 (1986)
49.
Zurück zum Zitat G.N. Gol’tsman, O. Okunev, G. Chulkova, A. Lipatov, A. Semenov, K. Smirnov, B. Voronov, A. Dzardanov, C. Williams, R. Sobolewski, Picosecond superconducting single-photon optical detector. Appl. Phys. Lett. 79(6), 705–707 (2001)CrossRef G.N. Gol’tsman, O. Okunev, G. Chulkova, A. Lipatov, A. Semenov, K. Smirnov, B. Voronov, A. Dzardanov, C. Williams, R. Sobolewski, Picosecond superconducting single-photon optical detector. Appl. Phys. Lett. 79(6), 705–707 (2001)CrossRef
50.
Zurück zum Zitat R. McDermott, M.G. Vavilov, B.L.T. Plourde, F.K. Wilhelm, P.J. Liebermann, O.A. Mukhanov, T.A. Ohki, Quantum–classical interface based on single flux quantum digital logic. Quant. Sci. Technol. 3(2), 024004 (2018) R. McDermott, M.G. Vavilov, B.L.T. Plourde, F.K. Wilhelm, P.J. Liebermann, O.A. Mukhanov, T.A. Ohki, Quantum–classical interface based on single flux quantum digital logic. Quant. Sci. Technol. 3(2), 024004 (2018)
51.
Zurück zum Zitat U. Ghoshal, T. Van Duzer, Superconductivity researchers seek to remove computational bottlenecks: wide communication bandwidths and fast switching make superconductive technology look attractive in computer applications. Comput. Phys. 6(6), 585–593 (1992)CrossRef U. Ghoshal, T. Van Duzer, Superconductivity researchers seek to remove computational bottlenecks: wide communication bandwidths and fast switching make superconductive technology look attractive in computer applications. Comput. Phys. 6(6), 585–593 (1992)CrossRef
52.
Zurück zum Zitat D.E. Nikonov, I.A. Young, Benchmarking of beyond-CMOS exploratory devices for logic integrated circuits. IEEE J. Explor. Solid-State Comput. Devices Circuits 1, 3–11 (2015)CrossRef D.E. Nikonov, I.A. Young, Benchmarking of beyond-CMOS exploratory devices for logic integrated circuits. IEEE J. Explor. Solid-State Comput. Devices Circuits 1, 3–11 (2015)CrossRef
53.
Zurück zum Zitat A. Gara, M.A. Blumrich, D. Chen, G.L. Chiu, P. Coteus, M.E. Giampapa, R.A. Haring, P. Heidelberger, D. Hoenicke, G.V. Kopcsay, T.A. Liebsch, M. Ohmacht, B.D. Steinmacher-Burow, T. Takken, P. Vranas, Overview of the blue gene/L system architecture. IBM J. Res. Dev. 49(2/3), 195–212 (2005)CrossRef A. Gara, M.A. Blumrich, D. Chen, G.L. Chiu, P. Coteus, M.E. Giampapa, R.A. Haring, P. Heidelberger, D. Hoenicke, G.V. Kopcsay, T.A. Liebsch, M. Ohmacht, B.D. Steinmacher-Burow, T. Takken, P. Vranas, Overview of the blue gene/L system architecture. IBM J. Res. Dev. 49(2/3), 195–212 (2005)CrossRef
54.
Zurück zum Zitat N. Zhuldassov, R. Bairamkulov, E.G. Friedman, Thermal optimization of hybrid cryogenic computing systems. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 31(9), 1339–1346 (2023) N. Zhuldassov, R. Bairamkulov, E.G. Friedman, Thermal optimization of hybrid cryogenic computing systems. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 31(9), 1339–1346 (2023)
55.
Zurück zum Zitat S. Krinner, S. Storz, P. Kurpiers, P. Magnard, J. Heinsoo, R. Keller, J. Lütolf, C. Eichler, A. Wallraff, Engineering cryogenic setups for 100-qubit scale superconducting circuit systems. EPJ Quant. Technol. 6(2), 1–29 (2019) S. Krinner, S. Storz, P. Kurpiers, P. Magnard, J. Heinsoo, R. Keller, J. Lütolf, C. Eichler, A. Wallraff, Engineering cryogenic setups for 100-qubit scale superconducting circuit systems. EPJ Quant. Technol. 6(2), 1–29 (2019)
56.
Zurück zum Zitat T. Jabbari, M. Bocko, E.G. Friedman, All-JJ logic based on bistable JJs. IEEE Trans. Appl. Supercond. 33(5), 1–7 (2023) T. Jabbari, M. Bocko, E.G. Friedman, All-JJ logic based on bistable JJs. IEEE Trans. Appl. Supercond. 33(5), 1–7 (2023)
65.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5 T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5
Metadaten
Titel
Introduction
verfasst von
Gleb Krylov
Tahereh Jabbari
Eby G. Friedman
Copyright-Jahr
2024
DOI
https://doi.org/10.1007/978-3-031-47475-0_1

Neuer Inhalt