Skip to main content

2024 | Buch

Single Flux Quantum Integrated Circuit Design

verfasst von: Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Verlag: Springer International Publishing

insite
SUCHEN

Über dieses Buch

High efficiency, large scale, stationary computing systems – supercomputers and data centers – are becoming increasingly important due to the movement of data storage and processing onto remote cloud servers. This book is dedicated to a technology particularly appropriate for this application – superconductive electronics, in particular, rapid single flux quantum circuits. The primary purpose of this book is to introduce and systematize recent developments in superconductive electronics into a cohesive whole to support the further development of large scale computing systems.

A brief background into the physics of superconductivity and the operation of common superconductive devices is provided, followed by an introduction into different superconductive logic families, including the logic gates, interconnect, and bias current distribution. Synchronization, fabrication, and electronic design automation methodologies are presented, reviewing both widely established concepts and techniques as well as recent approaches. Issues related to memory, synchronization, interconnects, coupling noise, bias networks, signal interfaces, and deep scaling of superconductive structures and design for testability are described, and models, expressions, circuits, algorithms, and design methodologies are discussed and placed in context. The aim of this book is to provide insight and engineering intuition into the design of large scale digital superconductive circuits and systems.

Inhaltsverzeichnis

Frontmatter
Chapter 1. Introduction

In this chapter, superconductive electronics are introduced as a promising beyond-CMOS technology. The history of superconductive electronic circuits is briefly reviewed, highlighting similarities and differences in the development of semiconductor-based electronics. Modern computing systems are often categorized based on the total power consumption. The increasing importance of large-scale, stationary computing systems is emphasized. The advantages of superconductive electronics for this important application area are described. Additional application areas, such as space-based electronics and quantum computing, are also introduced. Finally, the rest of this book is outlined.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Part I

Frontmatter
Chapter 2. Physics and Devices of Superconductive Electronics

In this chapter, the phenomenon of superconductivity is introduced. A theoretical framework for the analysis of low-temperature superconductive materials—the London, Ginzburg-Landau, and Bardeen-Cooper-Schrieffer theories—is described. The defining features of superconductive materials are discussed, along with different types of materials and characteristics. The properties of these materials are emphasized in relation to superconductive electronics. As compared to conventional transistor-based circuits, superconductive electronics utilize a different set of basic devices as building blocks of larger circuits. These basic devices are introduced in this chapter. The properties and dynamic behavior of Josephson junctions are discussed with intuitive analogies describing both the dynamic behavior and classic circuit models. Important cryogenic devices commonly used in superconductive electronics are also briefly reviewed.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 3. Superconductive IC Manufacturing

Manufacturing integrated circuits is a complex and intricate process, both for semiconductor and superconductive electronics. Despite the minimum feature size and number of layers in modern SCE technology being less deeply scaled as compared to semiconductor technologies, numerous challenges exist in manufacturing superconductive electronics. The materials used during the different fabrication steps interact in complex mechanical, chemical, and electrical ways, requiring adjustments to the manufacturing process. These issues are exacerbated by the high sensitivity of superconductive circuits to process variations. In this chapter, the different steps and materials used in the manufacturing process of superconductive circuits are described. Challenges unique to superconductive electronics are highlighted. Important features of modern superconductive fabrication technologies are discussed and compared to the fabrication of semiconductor-based integrated circuits.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Part II

Frontmatter
Chapter 4. Principals of Superconductive Circuits

Superconductive circuits are introduced in this chapter. Both analog and digital circuits are described, and memory topologies are presented. Among the analog devices, one- and two-junction SQUIDs are introduced along with characteristic expressions and common applications. Different families of superconductive digital logic, such as voltage level logic, rapid single flux quantum logic, reciprocal quantum logic, and adiabatic quantum flux parametron logic, are described. The basic principles of adiabatic and reversible computing are also reviewed. Finally, different types of cryogenic memory are introduced, and the advantages and disadvantages of these memory topologies are discussed.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 5. Rapid Single Flux Quantum (RSFQ) Circuits

In this chapter, the most popular type of superconductive digital logic—rapid single flux quantum (RSFQ) logic—is described. The basic building blocks of digital RSFQ circuits are reviewed in this chapter. Different types of transmission lines for this technology—JTL and PTL—are introduced, and the advantages and disadvantages of these interconnect structures are discussed. Certain basic structures of RSFQ circuits, flux storage loops, balanced comparators, and buffers, are described here as a framework to demonstrate the operation of more complex gates. Bias current distribution in RSFQ circuits is also discussed in this chapter. Resistive bias distribution, commonly used in RSFQ circuits, is described, and the disadvantages of this approach are reviewed. Energy-efficient modifications to RSFQ logic to reduce or eliminate static power dissipation are also introduced, along with the advantages and disadvantages of these different circuit topologies. In particular, the ERSFQ bias scheme, which utilizes inductive current distribution with JJs as current regulators and feeding JTLs as voltage references, is introduced.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 6. Splitter Trees in Single Flux Quantum Circuits

The increasing complexity of modern rapid single flux quantum (RSFQ) circuits has made the issue of multiple fanout of growing importance. Most RSFQ gates can only drive a single output. Splitter gates can however distribute an SFQ pulse to multiple fanout. To drive N SFQ gates, N − $$-$$ 1 splitters with a fanout of two are required. Large splitter trees are often used in high speed VLSI complexity SFQ systems. These splitters require significant area and increase the path delay. In this chapter, three area and power efficient splitter topologies for large scale RSFQ circuits are introduced. These SFQ splitters are an active splitter tree with fewer JJs, a passive splitter, and a multi-output active splitter. A methodology is presented for determining when to use passive or active splitters. Tradeoffs among the number of JJs, bias current of each stage, and delay are reported along with a margin analysis. The proposed splitters greatly reduce the required bias currents and delay of large scale RSFQ circuits by enabling multiple fanout. The methodologies and techniques are applicable to automated layout and clock tree synthesis for large scale SFQ integrated circuits.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 7. Dynamic Single Flux Quantum Majority Gates

Among the major issues in modern large scale rapid single flux quantum circuits are the complexity of the clock network, tight timing tolerances, poor applicability of existing CMOS electronic design automation (EDA) techniques, and extremely deep pipelines, which reduce the effective clock frequency. In this chapter, asynchronous dynamic SFQ majority gates are proposed to solve some of these problems. The proposed logic gates exhibit high bias margins and do not require significant area or a large number of Josephson junctions as compared to existing RSFQ logic gates. These gates exhibit a tradeoff among the input skew tolerance, clock frequency, and bias margins. Asynchronous logic gates greatly reduce the complexity of the clock network in large scale RSFQ circuits, thereby alleviating certain timing issues while reducing the required bias currents. Furthermore, asynchronous logic allows existing EDA tools to utilize CMOS approaches for synthesis, verification, and testability. The adoption of majority logic in complex RSFQ circuits also reduces the pipeline depth, enabling higher clock speeds in large scale RSFQ circuits.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 8. SFQ/DQFP Interface Circuits

The increasing complexity of hybrid superconductive computing systems has made interface circuits between logic families an issue of growing importance. In this chapter, interface circuits between single flux quantum (SFQ) and directly coupled quantum flux parametron (DQFP) logic families to achieve high speed, low power hybrid superconductive computing systems are presented. In the DQFP-to-SFQ interface, margins greater than ± $$\pm $$ 20% of the critical current of the JJs, bias currents, and inductances are exhibited. The margins of the excitation current of the DQFP buffer are − $$-$$ 38% and + $$+$$ 35% with the frequency of the excitation current in the range of 2 to 10 GHz. In the SFQ-to-DQFP interface, the margins are greater than − $$-$$ 33% and + $$+$$ 25%. The margins of the excitation current of the DQFP buffer are − $$-$$ 50% and + $$+$$ 20% for frequencies ranging from 2 to 10 GHz. Since no transformers are required, the physical area of the adiabatic portion of the interface circuits is significantly less than existing interface circuits. The SFQ-to-DQFP interface circuit operates at frequencies approaching 20 GHz. These interface circuits therefore exhibit high parameter margins and operating frequencies while requiring significantly less area as compared to existing interface circuits. The interface circuits support the use of both ultra-low power and high speed logic families in complex hybrid superconductive systems.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 9. Sense Amplifier for Spin-Based Cryogenic Memory Cell

One of the primary drawbacks limiting the use of superconductive electronics is the lack of fast and dense memory capable of operating within a cryogenic environment. Recent research suggests the use of cryogenic spin-based memory—magnetic tunnel junctions and spin valves. In this chapter, a sense amplifier topology for a spin-based cryogenic memory cell is proposed and described. The nanocryotron (nTron) device is used as a driver for a spin-based memory element—the cryogenic orthogonal spin transfer device. A clocked DC-to-SFQ converter is used as a sense amplifier to resolve small differences in the readout current. The sense amplifier produces a variable number of SFQ pulses to represent different analog states by passing or blocking input clock pulses. This clock is derived from the system clock by synchronizing the read pulse to the same clock signal. These output pulses are counted and converted into a binary form. The sense amplifier exploits the specific shape of the nTron output waveform characterized by an L/R time constant to achieve the resolution of low magnetoresistance (MR) memory cells and is adaptable to different nTron sizes, bias currents, and spin-based devices. The dynamic power dissipation and resolution of the sense amplifier can be adjusted by the frequency of the applied clock signal, allowing the resolution to be reduced for high MR devices. The sense amplifier consists of two Josephson junctions, requiring little area, particularly in comparison to a standard nTron device, and can therefore be connected to each column of the memory array.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 10. SFQ Circuits for Quantum Computing

Quantum computing is a novel approach to computation that can provide a significant speedup of computationally hard tasks by using special quantum algorithms. Significant scaling of the many cohesive components within a quantum computing system is necessary to achieve quantum advantage for practical tasks. An essential element of any quantum computer is the control and measurement system. Classical superconductive electronics and SFQ circuits in particular can be interfaced with superconductive quantum systems. The performance of SFQ control circuits in terms of fidelity and noise is currently approaching the state-of-the-art as set by conventional control methods. Classical superconductive circuits can be instrumental in the transition to larger scale quantum computers. SFQ circuits can enhance these systems by providing fast, repeated measurements, complex processing, and controlled feedback while introducing low noise and heat load.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Part III

Frontmatter
Chapter 11. Synchronization

The speed of operation in a synchronous circuit is controlled by the clock distribution network. Most RSFQ circuits require a large multi-gigahertz clock network, as unlike CMOS, most logic gates are clocked. AQFP circuits however utilize a multiphase AC power network for synchronization. In a self-timed asynchronous circuit, where a global clock network is absent, handshaking gates and protocols are necessary. Timing tolerances in all of these systems are extremely narrow, and an effective clock network is required for robust high-performance operation. The optimal design of the clock distribution network, providing robustness against timing variations, and proper organization of the handshaking circuits are crucial to maintain correct operation of multi-gigahertz systems. Techniques providing solutions to these issues in superconductive circuits are also described in this chapter. Existing pulse-based clock distribution topologies are introduced and related tradeoffs are described. Asynchronous techniques which do not require a global clock are discussed. Synchronization in AC-biased circuits, utilizing a different clocking mechanism, is also described.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 12. GALS Clocking and Shared Interconnect for Large-Scale SFQ Systems

A globally asynchronous, locally synchronous clocking scheme for large-scale single-flux quantum systems is described in this chapter. In this scheme, the width of each data bus is extended to carry the corresponding clock signal. This signal activates the distribution of the clock signals within the receiving block. Based on this approach for intra-chip interconnect within SFQ systems, a configurable shared bus is also presented. The data are attached to a tag, and a resulting data packet is sent to the shared bus. This packet is received by each block but only processed if the tag matches the block identifier. By avoiding expensive comparators and multiplexers, the overhead of the global bus connection is reduced. These approaches exploit the pulse-based nature and ambiguity of clock and data in SFQ technology—the data packet propagating through the interconnect carries a local clock signal.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 13. H-Tree Clock Synthesis in SFQ Circuits

Rapid single-flux quantum (RSFQ) technology promises high-performance, energy-efficient supercomputers to achieve exascale computing. An important challenge in VLSI complexity RSFQ circuits is ultra-high-speed clocking and synchronization. A methodology to support the automated design of clock distribution networks for SFQ circuits is the focus of this chapter. Global clock synthesis of SFQ circuits is applied to produce a near-zero skew clock network. An algorithm is presented that produces an SFQ H-tree network with asymmetric leaves to propagate the clock signal to all SFQ gates. A Python-based system with location information for the interconnects, splitters, and leaves is described. The algorithm determines the physical locations and connections within the SFQ clock network and is applicable to automated routing and clock tree synthesis.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Part IV

Frontmatter
Chapter 14. EDA for Superconductive Electronics

Electronic design automation (EDA) is essential for the computer-aided design (CAD) of large-scale systems. In this chapter, EDA methodologies, techniques, and algorithms used in superconductive electronics are discussed. The semi-custom standard cell-based design flow, common in conventional CMOS circuits, is increasingly widely adopted in modern superconductive circuits. Differences and issues in computer-aided design flows as compared to CMOS design methodologies are highlighted. The most common stages of these design flows, from high-level simulation to physical layout, are described. These stages are grouped into three areas—simulation/modeling, synthesis, and verification. For the automated synthesis process, methodologies and algorithms are described for logic synthesis and automated place and route. For the simulation and modeling process, RTL simulation based on hardware design languages and different dynamic and static circuit simulators, as well as inductance extraction tools, are described. For the verification process, timing analysis methodologies and related timing constraints suitable for modern superconductive circuits are discussed, and verification approaches are reviewed. Existing EDA tools and techniques for superconductive electronics are immature as compared to CMOS EDA tools. Significant research efforts are, however, directed at improving and developing novel algorithms and design methodologies that target superconductive circuits. The effectiveness of these tools is improving to enable large-scale superconductive systems.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 15. Design Guidelines for ERSFQ Bias Networks

ERSFQ is an energy-efficient, inductive bias scheme for RSFQ circuits, where the power dissipation is drastically lowered by eliminating the bias resistors while the cell library remains unchanged. The ERSFQ bias scheme requires multiple circuit elements—current limiting Josephson junctions, bias inductors, and feeding Josephson transmission lines (FJTL). In this chapter, parameter guidelines and design techniques for ERSFQ circuits are presented. These guidelines enable more robust circuits resistant to severe variations in the supplied bias current. Trends are considered, and advantageous tradeoffs are discussed for the different components within a bias network. The guidelines provide a means to decrease the size of a FJTL and thereby reduce the physical area, power dissipation, and overall bias current, supporting further increases in circuit complexity. A distributed approach to an ERSFQ FJTL is also presented to simplify the placement process and minimize the effects of the parasitic inductance of the bias lines. This methodology and related circuit techniques are applicable to automating the synthesis of bias networks to enable large-scale ERSFQ circuits.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 16. Partitioning RSFQ Circuits for Current Recycling

RSFQ circuits require a DC bias current to operate properly. The bias current in conventional RSFQ circuits is supplied to each gate, resulting in large current requirements in VLSI complexity SFQ systems, on the order of tens to hundreds of amperes. These high currents are difficult to supply and distribute. Large currents require significant metal and input pin resources. In addition, large currents can inductively couple to sensitive superconductive inductors, degrading circuit operation and producing errors. Current recycling is a well-known technique to reduce these bias currents. RSFQ circuits with similar bias current requirements can be serially biased and placed on separate ground planes. The inputs and outputs of these circuits are galvanically decoupled and require drivers and receivers between connections. In this chapter, a methodology for automated partitioning of complex RSFQ circuits into blocks with similar bias currents is described, where the number of connections among the blocks is minimized. These blocks are biased in series, reducing the total bias current by the number of partitions. The partitioning methodology is intended for use within an automated EDA flow to enable current recycling for arbitrary (nonuniform, irregular) VLSI complexity RSFQ circuits, drastically reducing the overall bias current and input requirements.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 17. Wave Pipelining in DSFQ Circuits

Dynamic SFQ (DSFQ) circuits are a promising circuit topology for asynchronous SFQ logic. The operation of DSFQ circuits, however, significantly differs from both CMOS logic and conventional synchronous RSFQ logic. Novel design methodologies are necessary to synthesize DSFQ circuits while increasing performance and decreasing area. The path balancing process, essential for RSFQ circuits, is less important for DSFQ. Path delay balancing, however, can increase the performance of DSFQ circuits by enabling wave pipelining. In this chapter, different path balancing approaches for DSFQ circuits are evaluated and compared to equivalent RSFQ circuits. A partial path balancing methodology is described and characterized, where path balancing is first applied to the critical paths. This methodology enables wave pipelining in DSFQ circuits and reduces the period between data waves.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 18. Design for Testability of SFQ Circuits

Test point insertion and set/scan techniques for enhanced testability in single-flux quantum logic are discussed in this chapter. Test point insertion reduces the overhead of a set/scan chain while maintaining most of the functionality. Multiple ways of replacing costly (in terms of the number of Josephson junctions) SFQ multiplexers with mergers and blocking gates are presented. The multiplexer control signals are replaced with a gated clock signal or separate bias networks for both functional and test paths. Clocked blocking gates or current-controlled Josephson transmission line segments are used to disable undesirable data inputs. The clocked blocking gates for test point insertion in a 64-bit register require 35% fewer Josephson junctions as compared to multiplexers. This advantage further increases for current-controlled blocking gates. Set/scan chain and test point insertion techniques are applied to several SFQ circuits to evaluate the error characteristics and to provide built-in self-test of SFQ-compatible memory systems.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Part V

Frontmatter
Chapter 19. Ferromagnetic Josephson Junctions

The phenomenon of superconductivity produces ideal diamagnetism in low Tc superconductors, contradicting the ferromagnetism phenomena. Superconductivity prefers antiparallel spin orientation of the electrons in Cooper pairs, whereas ferromagnetism forces the spins to be aligned in a parallel orientation. The combination of superconductivity and ferromagnetism is attracting significant attention as a promising electronic device for large-scale integrated superconductive systems. These superconductive-ferromagnetic devices are typically composed of different number, type, and configuration of superconductive, normal metal, ferromagnetic, and insulating layers. The primary objective of these devices is to enable area and power-efficient superconductive logic. In this chapter, the physics of ferromagnetic Josephson junctions and other types of ferromagnetic JJs is reviewed.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 20. All-JJ Logic Based on Bistable JJs

The all-JJ logic family is a promising area and power-efficient, scalable single flux quantum (SFQ) technology for application to exascale supercomputers. All-JJ superconductive logic is based on a superconductor-ferromagnet-superconductor (SFS) bistable JJ, enabling nanometer feature sizes in VLSI complexity superconductive systems. In this chapter, a mechanical analogy is proposed to describe the dynamic behavior of these bistable JJs. Novel all-JJ logic gates, such as TFF, DFF, OR, AND, and NOT gates, are presented here. All-JJ circuits are composed of bistable JJs, standard JJs, and bias currents, not requiring large inductors within the storage loops. All-JJ logic cells exhibit less delay and power than standard SFQ cells with the same critical current density. All-JJ systems can operate at high frequencies due to the small capacitance of the SFS JJ. A complex all-JJ circuit from the suite of ISCAS’85 benchmark circuits is also characterized. This complex all-JJ circuit exhibits less delay and power as compared to standard SFQ logic. The bias current in a conventional benchmark circuit and all-JJ benchmark circuit is, respectively, 22 mA and 13 mA. The delay of each cell within the conventional benchmark circuit and all-JJ benchmark circuit is, respectively, approximately 20 and 8 ps. A parasitic inductance in series with the JJs disturbs the current distribution within the all-JJ circuits while degrading the margins. To suppress the effects of this parasitic inductance on SFS JJs, small linear inductors are added to manage the current distribution and improve the parameter margins.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 21. Compact Model of Superconductor-Ferromagnetic Transistors

The superconductor-ferromagnetic transistor (SFT) is a novel cryogenic device with the potential to greatly enhance traditional single flux quantum circuits. Since SFT devices are under active development, compact models are necessary to include this device in the simulation of novel circuits. In this chapter, a simplified compact model of a three-terminal SFT device is described. The model fits the general I-V characteristics of existing devices with 7.4% mean absolute error, while also capturing the transient behavior of the device. The model has been implemented in Verilog-A and simulated in Cadence Spectre. The model enables the simulation of SFQ circuits containing SFT devices and is reconfigurable to support developments in SFT technology.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Part VI

Frontmatter
Chapter 22. Transmission Lines in VLSI Complexity Single Flux Quantum Systems

Superconductive electronics based on Josephson junctions (JJ) is a promising cryogenic alternative technology to complementary metal oxide semiconductor (CMOS) technology for ultralow energy, high-speed stationary applications. For complex superconductive systems, the automated routing process determines the topology and methodology to connect cells while satisfying design constraints. On-chip signal routing has become an issue of growing importance in modern superconductive technologies; particularly, single flux quantum (SFQ) systems. Specialized routing methods for these systems are required. These routing methods include passive transmission lines (PTLs) and Josephson transmission lines behaving as interconnects. A primary issue within a long SFQ interconnect is the effects of resonance due to the imperfect match between the PTLs and Josephson junctions. A repeater insertion methodology to reduce and manage these resonance effects is required for driving long and short interconnect in VLSI complexity SFQ systems. Permissible interconnect lengths are suggested to ensure that the reflections do not affect the returning signals. The microwave behavior of the interconnect striplines is also considered to accurately estimate the surface inductance of the lines. A closed-form expression describing the dependence of the surface inductance of a stripline on the line thickness, magnetic field, and current density is discussed. Another primary issue within SFQ circuits is coupling noise between transmission striplines, degrading performance, and decreasing margins. Inductive and capacitive coupling noise between the routing layers, for the MIT LL SFQ5ee process, is described. An analysis of inductive and capacitive coupling noise can determine the minimum physical spacing between lines to enhance the automated routing process in large-scale systems. The increasing complexity of modern SFQ circuits has also made the issue of flux trapping of growing importance. The use of wide striplines for signal routing has exacerbated this issue. Trapped residual magnetic fields within the striplines damage the operability of superconductive circuits. Area-efficient topologies for striplines are introduced to manage flux trapping in large-scale SFQ circuits. These topologies are composed of several narrow lines rather than a single wide stripline. The first approach is a narrow parallel line topology in series with small resistors where each narrow line is connected to a single small resistor and via. The resistors in the parallel line topology remove any trapped fluxons and break any loops while requiring additional vias. The second topology is a fingered narrow line topology. The fingered narrow line topology enhances the scalability of SFQ systems while not requiring additional area and vias. These topologies require significantly less area while preventing flux from being trapped within wide superconductive striplines and reducing coupling noise between striplines. These methodologies and techniques are intended as guidelines to enable robust routing with superconductive interconnects. With these and other advances in design methodologies for superconductive electronics, the complexity of SFQ circuits is expected to greatly increase.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 23. Interconnect Routing for Large-Scale SFQ Circuits

The increasing complexity of modern rapid single flux quantum (RSFQ) circuits has made on-chip signal routing an issue of growing importance. In this chapter, several methods for routing large-scale RSFQ circuits are described, and a process is presented for determining when to use passive microstrip transmission lines (PTL) and active Josephson transmission lines (JTL). The effect of the size of the JTL inductor and Josephson junctions on the length of a JTL chain for a target delay is also discussed. The dependence of the JTL inductance on the physical layout is evaluated, and the effects of the primary PTL parameters on delay are characterized. A novel PTL driver and receiver configuration is also proposed. Trade-offs among the number of JJs, inductance, and length of a PTL stripline in the receiver and driver circuits are reported. The energy dissipation is evaluated for two different interconnects. A trade-off between the PTL circuits and an optimized JTL in terms of energy dissipation and delay is discussed. Guidelines for choosing the optimal element values are determined, and a simulated bias margin of ± 29 % $$\pm 29\%$$ for the bias current of the receiver operating at 20 GHz in a 10 kA/cm 2 $${ }^2$$ technology for a 1 mm transmission line is achieved. Summarizing, guidelines and design trade-offs appropriate for automated layout and synthesis are provided for driving long interconnect in SFQ VLSI circuits.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 24. Repeater Insertion in SFQ Interconnect

Superconductive passive transmission lines (PTL) are widely used for signal routing in large scale rapid single flux quantum (RSFQ) circuits. Due to the imperfect matching of the transmission lines between the driver and receiver, single flux quantum (SFQ) pulses are partially reflected. The round trip propagation time of these reflections can coincide with the following SFQ pulse, resulting in a decrease in bias margins or incorrect circuit behavior. This resonant effect depends upon the length of the PTL and the clock frequency of the signal. A methodology to reduce and manage this effect is the focus of this chapter. A closed-form expression describing the dependence of the resonance frequency on the length of the PTL is presented. This expression describes a set of forbidden lengths for PTL interconnect segments in RSFQ circuits. The methodology and algorithm insert active PTL-based repeaters into long superconductive interconnect while ensuring the length of the line segment is outside the forbidden region while increasing bias margins.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 25. Surface Inductance of Superconductive Striplines

Inductance in superconductive circuits plays a significant role in rapid single flux quantum (RSFQ) systems. Inductance estimation is a challenging issue. The microwave behavior of these inductances is characterized by the surface inductance of a line. A methodology to accurately estimate the surface inductance of a stripline is the focus of this chapter. A closed-form expression describing the dependence of the surface inductance of a stripline on the line thickness, magnetic field, and current density is provided. The effects of process parameter variations on the surface inductance are also discussed. An expression to model the effects of the trapezoidal geometry of a stripline is presented. The dependence of the surface inductance on the oxide and metal layer thickness is also presented. The objective is to provide an accurate estimate of the surface inductance for use in automated routing of VLSI complexity RSFQ circuits.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 26. Inductive Coupling Noise in Multilayer Superconductive ICs

Large-scale rapid single flux quantum circuits primarily use passive transmission lines for routing signals among standard cells. This type of interconnect consists of driver/receiver circuits and a stripline or microstripline. This interconnect topology poses unique challenges on the routing process as the available routing resources are severely limited. Different alternative topologies have been considered to reduce the number of metal layers required by these structures. These topologies, however, increase the inductive noise between adjacent striplines as compared to topologies with additional ground planes. Another source of undesirable inductive coupling is the bias lines. Bias current distribution networks are connected to each cell. Despite the relatively small mutual inductance, high current within these bias lines can also couple to other circuits and transmission lines. Superconductive circuits are highly sensitive to inductance variations and parasitic coupling, further exacerbating this coupling issue. In this chapter, issues related to inductive noise coupling in multilayer superconductive ICs are discussed, and approaches to characterize and mitigate inductive noise in these systems are described. Guidelines to mitigate the deleterious effects of noise coupling are presented.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 27. Inductive and Capacitive Coupling Noise in Superconductive VLSI Circuits

The increasing complexity of modern superconductive circuits, and single flux quantum (SFQ) circuits in particular, has made the issue of coupling noise of growing importance. Limited metal resources within superconductive circuits have exacerbated this issue. In this chapter, the different sources of coupling noise within SFQ circuits are described. Coupling noise among inductors, routing striplines, and bias microstriplines within SFQ circuits degrades performance while decreasing margins. In this chapter, inductive and capacitive coupling noise between the different layers is characterized. Inductive coupling models between different layers in the MIT LL SFQ5ee process match experimental data within 3%. The dependence of inductive coupling on the thickness of the oxide and metal layer is also discussed. An understanding of inductive and capacitive coupling noise can determine the minimum physical distance between lines. In addition, trade-offs exist among inductive coupling, capacitive coupling, layout complexity, and the vias between ground layers. The different coupling sources are characterized, and guidelines are provided to enhance the automated routing process.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 28. Flux Mitigation in Wide Superconductive Striplines

The increasing complexity of modern superconductive circuits and single flux quantum (SFQ) circuits in particular have made the issue of flux trapping of growing importance. The use of wide superconductive striplines for signal routing has exacerbated this issue. Trapping residual magnetic fields in these striplines degrades performance while reducing margins, damaging the operability of superconductive circuits. In this chapter, an area-efficient topology for striplines is introduced to manage flux trapping in large-scale SFQ circuits. This topology is composed of narrow parallel lines in series with small resistors. The topology decreases the length of the striplines by exploiting the mutual inductance between the narrow parallel lines. The topology requires significantly less area while preventing flux trapping within wide superconductive striplines. The narrow parallel line topology also reduces coupling capacitance between striplines. The approach is compatible with automated routing of large-scale SFQ integrated circuits.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 29. Stripline Topology for Flux Mitigation

The increasing complexity of modern single flux quantum (SFQ) circuits has increased the importance of flux trapping and trapped magnetic fields within SFQ systems. This trapped flux reduces margins while damaging the operability of superconductive circuits. In this chapter, an area-efficient stripline topology is introduced to prevent flux from being trapped within striplines. The topology is composed of coupled narrow lines rather than wide striplines. The topology uses a fingered narrow line configuration. The fingered narrow line topology enhances the scalability of SFQ systems while not requiring additional area. The topology decreases the length of the striplines by exploiting the mutual inductance between narrow parallel lines. The topology requires less area while preventing flux from being trapped within wide superconductive striplines. Due to the stripline configuration, residual current is eliminated in VLSI complexity SFQ circuits. The fingered narrow line topology also reduces coupling capacitance between striplines. The topology is compatible with automated routing of large-scale SFQ integrated circuits.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Benchmark Circuits

Frontmatter
Chapter 30. Benchmark Circuits for Single Flux Quantum Integrated Systems

Superconductive single flux quantum (SFQ) technology is one of the most promising beyond CMOS technologies for large-scale, high-performance computing systems. The operating frequency of SFQ circuits may exceed hundreds of gigahertz while dissipating several orders of magnitude lower power, including the refrigeration. Recent advances in SFQ manufacturing technology have enabled significantly higher levels of integration and system complexity. Further advancements in SFQ systems integration require improved design methodologies and flows. The lack of SFQ specific benchmark systems complicates the development and evaluation of these SFQ design flows. This limitation is particularly relevant to the development of physical design tools since existing CMOS benchmark systems are not easily adapted to support SFQ technology. In this chapter, a suite of SFQ specific interconnect routing benchmark systems is presented. The benchmark circuits support the evaluation of data signal, clock signal, and bias current routing algorithms. Due to the gate-level pipelining inherent to SFQ logic, path balancing using D flip flops is required to ensure consistent logic depth and correct operation. Popular CMOS benchmark circuits are converted into SFQ technology, producing SFQ circuits with over 100,000 logic gates. Based on Synopsys EDA tools, the layout of these systems is generated for the MIT LL SFQ5ee technology. Rows of logic cells and passive transmission lines are also included within the layout to manage the interconnect length and delay. The benchmark circuits are openly available to evaluate and enhance state-of-the-art and next-generation physical design of VLSI complexity SFQ systems.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Chapter 31. Conclusions

In this chapter, the book is concluded. The advantages and prospective application areas of superconductive circuits are reviewed. The primary challenges to large-scale integration of superconductive digital systems are reviewed, and solutions to these issues are summarized. Finally, the primary objectives of this book are discussed.

Gleb Krylov, Tahereh Jabbari, Eby G. Friedman
Backmatter
Metadaten
Titel
Single Flux Quantum Integrated Circuit Design
verfasst von
Gleb Krylov
Tahereh Jabbari
Eby G. Friedman
Copyright-Jahr
2024
Electronic ISBN
978-3-031-47475-0
Print ISBN
978-3-031-47474-3
DOI
https://doi.org/10.1007/978-3-031-47475-0

Neuer Inhalt