Skip to main content

2024 | OriginalPaper | Buchkapitel

6. Splitter Trees in Single Flux Quantum Circuits

verfasst von : Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Erschienen in: Single Flux Quantum Integrated Circuit Design

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The increasing complexity of modern rapid single flux quantum (RSFQ) circuits has made the issue of multiple fanout of growing importance. Most RSFQ gates can only drive a single output. Splitter gates can however distribute an SFQ pulse to multiple fanout. To drive N SFQ gates, N \(-\) 1 splitters with a fanout of two are required. Large splitter trees are often used in high speed VLSI complexity SFQ systems. These splitters require significant area and increase the path delay. In this chapter, three area and power efficient splitter topologies for large scale RSFQ circuits are introduced. These SFQ splitters are an active splitter tree with fewer JJs, a passive splitter, and a multi-output active splitter. A methodology is presented for determining when to use passive or active splitters. Tradeoffs among the number of JJs, bias current of each stage, and delay are reported along with a margin analysis. The proposed splitters greatly reduce the required bias currents and delay of large scale RSFQ circuits by enabling multiple fanout. The methodologies and techniques are applicable to automated layout and clock tree synthesis for large scale SFQ integrated circuits.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
25.
Zurück zum Zitat K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef
29.
Zurück zum Zitat M.A. Manheimer, Cryogenic computing complexity program: phase 1 introduction. IEEE Trans. Appl. Supercond. 25(3), 1–4 (2015)CrossRef M.A. Manheimer, Cryogenic computing complexity program: phase 1 introduction. IEEE Trans. Appl. Supercond. 25(3), 1–4 (2015)CrossRef
39.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019) T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019)
41.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7 T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7
42.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020) T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)
57.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759 T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759
58.
Zurück zum Zitat R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef
59.
Zurück zum Zitat T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5 T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5
60.
Zurück zum Zitat T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021) T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021)
61.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef
63.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023) T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023)
65.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5 T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5
87.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022) T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022)
91.
Zurück zum Zitat S.K. Tolpygo, Superconductor digital electronics: scalability and energy efficiency issues. Low Temp. Phys. 42(5), 361–379 (2016)CrossRef S.K. Tolpygo, Superconductor digital electronics: scalability and energy efficiency issues. Low Temp. Phys. 42(5), 361–379 (2016)CrossRef
106.
Zurück zum Zitat S.K Tolpygo, V. Bolkhovsky, R. Rastogi, S. Zarr, A.L. Day, E. Golden, T.J. Weir, A. Wynn, L.M. Johnson, Advanced fabrication processes for superconductor electronics: current status and new developments. IEEE Trans. Appl. Supercond. 29(5), 1–13 (2019) S.K Tolpygo, V. Bolkhovsky, R. Rastogi, S. Zarr, A.L. Day, E. Golden, T.J. Weir, A. Wynn, L.M. Johnson, Advanced fabrication processes for superconductor electronics: current status and new developments. IEEE Trans. Appl. Supercond. 29(5), 1–13 (2019)
111.
Zurück zum Zitat S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef
165.
Zurück zum Zitat T. Van Duzer, C.W. Turner, Principles of Superconductive Devices and Circuits, 2nd edn. (Prentice Hall, Hoboken, 1999) T. Van Duzer, C.W. Turner, Principles of Superconductive Devices and Circuits, 2nd edn. (Prentice Hall, Hoboken, 1999)
204.
Zurück zum Zitat V.K. Semenov, Y.A. Polyakov, S.K. Tolpygo, AC-biased shift registers as fabrication process benchmark circuits and flux trapping diagnostic tool. IEEE Trans. Appl. Supercond. 27(4), 1–9 (2017)CrossRef V.K. Semenov, Y.A. Polyakov, S.K. Tolpygo, AC-biased shift registers as fabrication process benchmark circuits and flux trapping diagnostic tool. IEEE Trans. Appl. Supercond. 27(4), 1–9 (2017)CrossRef
224.
Zurück zum Zitat S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef
231.
Zurück zum Zitat K. Gaj, E.G. Friedman, M.J. Feldman, Timing of multi-gigahertz rapid single flux quantum digital circuits. J. VLSI Sig. Process. Syst. 16(2/3), 247–276 (1997)CrossRef K. Gaj, E.G. Friedman, M.J. Feldman, Timing of multi-gigahertz rapid single flux quantum digital circuits. J. VLSI Sig. Process. Syst. 16(2/3), 247–276 (1997)CrossRef
232.
Zurück zum Zitat T.R. Lin, M. Pedram, Retiming for high-performance superconductive circuits with register energy minimization, in Proceeding of the IEEE/ACM International Conference On Computer-Aided Design (2020), pp. 1–9 T.R. Lin, M. Pedram, Retiming for high-performance superconductive circuits with register energy minimization, in Proceeding of the IEEE/ACM International Conference On Computer-Aided Design (2020), pp. 1–9
233.
Zurück zum Zitat D.K. Brock, RSFQ technology: circuits and systems. Int. J. High Speed Electron. Syst. 11(1), 307–362 (2001)CrossRef D.K. Brock, RSFQ technology: circuits and systems. Int. J. High Speed Electron. Syst. 11(1), 307–362 (2001)CrossRef
234.
Zurück zum Zitat T.N. Theis, H.S.P. Wong, The end of Moore’s Law: a new beginning for information technology. Comput. Sci. Eng. 19(2), 41–50 (2017)CrossRef T.N. Theis, H.S.P. Wong, The end of Moore’s Law: a new beginning for information technology. Comput. Sci. Eng. 19(2), 41–50 (2017)CrossRef
235.
Zurück zum Zitat S.N. Shahsavani, T. Lin, A. Shafaei, C.J. Fourie, M. Pedram, An integrated row-based cell placement and interconnect synthesis tool for large SFQ logic circuits. IEEE Trans. Appl. Supercond. 27(4), 1–8 (2017)CrossRef S.N. Shahsavani, T. Lin, A. Shafaei, C.J. Fourie, M. Pedram, An integrated row-based cell placement and interconnect synthesis tool for large SFQ logic circuits. IEEE Trans. Appl. Supercond. 27(4), 1–8 (2017)CrossRef
236.
Zurück zum Zitat J.Y. Kim, J.H. Kang, High frequency operation of a rapid single flux quantum arithmetic and logic unit. J. Korean Phys. Soc. 48(5), 1004–1007 (2006) J.Y. Kim, J.H. Kang, High frequency operation of a rapid single flux quantum arithmetic and logic unit. J. Korean Phys. Soc. 48(5), 1004–1007 (2006)
237.
Zurück zum Zitat T.V. Filippova, A. Sahua, A.F. Kirichenkoa, I.V. Vernika, M. Dorojevetsb, C.L. Ayalab, O.A. Mukhanov, 20 GHz operation of an asynchronous wave-pipelined RSFQ arithmetic-logic unit. Physics Procedia 36, 59–65 (2012)CrossRef T.V. Filippova, A. Sahua, A.F. Kirichenkoa, I.V. Vernika, M. Dorojevetsb, C.L. Ayalab, O.A. Mukhanov, 20 GHz operation of an asynchronous wave-pipelined RSFQ arithmetic-logic unit. Physics Procedia 36, 59–65 (2012)CrossRef
238.
Zurück zum Zitat S.K. Tolpygo, V.K. Semenov, Increasing integration scale of superconductor electronics beyond one million Josephson junctions. J. Phys. Conf. Ser. 1559(1), 012002 (2020) S.K. Tolpygo, V.K. Semenov, Increasing integration scale of superconductor electronics beyond one million Josephson junctions. J. Phys. Conf. Ser. 1559(1), 012002 (2020)
240.
Zurück zum Zitat V. Semenov, Y.A. Polyakov, S.K. Tolpygo, New AC-powered SFQ digital circuits. IEEE Trans. Appl. Supercond. 25(3), 1–7 (2015)CrossRef V. Semenov, Y.A. Polyakov, S.K. Tolpygo, New AC-powered SFQ digital circuits. IEEE Trans. Appl. Supercond. 25(3), 1–7 (2015)CrossRef
241.
Zurück zum Zitat Y. Kameda, S. Yorozu, Y. Hashimoto, A new design methodology for single-flux-quantum (SFQ) logic circuits using passive-transmission-line (PTL) wiring. IEEE Trans. Appl. Supercond. 17(2), 508–511 (2007)CrossRef Y. Kameda, S. Yorozu, Y. Hashimoto, A new design methodology for single-flux-quantum (SFQ) logic circuits using passive-transmission-line (PTL) wiring. IEEE Trans. Appl. Supercond. 17(2), 508–511 (2007)CrossRef
242.
Zurück zum Zitat T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review
243.
Zurück zum Zitat N. Katam, A. Shafaei, M. Pedram, Design of multiple fanout clock distribution network for rapid single flux quantum technology, in Proceedings of the IEEE Asia and South Pacific Design Automation Conference (2017), pp. 384–389 N. Katam, A. Shafaei, M. Pedram, Design of multiple fanout clock distribution network for rapid single flux quantum technology, in Proceedings of the IEEE Asia and South Pacific Design Automation Conference (2017), pp. 384–389
244.
Zurück zum Zitat T. Yamada, A. Fujimaki, A novel splitter with four fan-outs for ballistic signal distribution in single-flux-quantum circuits up to 50 Gb/s. Jpn. J. Appl. Phys. 45(9), L262–L264 (2006)CrossRef T. Yamada, A. Fujimaki, A novel splitter with four fan-outs for ballistic signal distribution in single-flux-quantum circuits up to 50 Gb/s. Jpn. J. Appl. Phys. 45(9), L262–L264 (2006)CrossRef
246.
Zurück zum Zitat M.L. Schneider, K. Segall, Fan-out and fan-in properties of superconducting neuromorphic circuits. J. Appl. Phys. 128(21), 214903 (2020) M.L. Schneider, K. Segall, Fan-out and fan-in properties of superconducting neuromorphic circuits. J. Appl. Phys. 128(21), 214903 (2020)
247.
Zurück zum Zitat M. Otsubo, Y. Yamanashi, N. Yoshikawa, Improvement of operating margin of SFQ circuits by controlling dependence of signal propagation time on bias voltage. IEEE Trans. Appl. Supercond. 23(3), 1300904 (2013) M. Otsubo, Y. Yamanashi, N. Yoshikawa, Improvement of operating margin of SFQ circuits by controlling dependence of signal propagation time on bias voltage. IEEE Trans. Appl. Supercond. 23(3), 1300904 (2013)
248.
Zurück zum Zitat O. Mukhanov, Transformation and perspectives of digital superconducting electronics, in Proceedings of the European Conference on Applied Superconductivity (2017), pp. 1–42 O. Mukhanov, Transformation and perspectives of digital superconducting electronics, in Proceedings of the European Conference on Applied Superconductivity (2017), pp. 1–42
249.
Zurück zum Zitat S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015) S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)
250.
Zurück zum Zitat M. Maruyama, M. Hidaka, T. Satoh, Improved high-Tc superconductor sampler circuits using Josephson transmission line buffers. IEEE Trans. Appl. Supercond. 13(2), 401–404 (2003)CrossRef M. Maruyama, M. Hidaka, T. Satoh, Improved high-Tc superconductor sampler circuits using Josephson transmission line buffers. IEEE Trans. Appl. Supercond. 13(2), 401–404 (2003)CrossRef
251.
Zurück zum Zitat E.G. Friedman, Clock distribution design in VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (1993), pp. 1475–1478 E.G. Friedman, Clock distribution design in VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (1993), pp. 1475–1478
253.
Zurück zum Zitat E.G. Friedman, Clock distribution networks in synchronous digital integrated circuits. Proc. IEEE 89(5), 665–692 (2001)CrossRef E.G. Friedman, Clock distribution networks in synchronous digital integrated circuits. Proc. IEEE 89(5), 665–692 (2001)CrossRef
Metadaten
Titel
Splitter Trees in Single Flux Quantum Circuits
verfasst von
Gleb Krylov
Tahereh Jabbari
Eby G. Friedman
Copyright-Jahr
2024
DOI
https://doi.org/10.1007/978-3-031-47475-0_6

Neuer Inhalt