Skip to main content

2024 | OriginalPaper | Buchkapitel

30. Benchmark Circuits for Single Flux Quantum Integrated Systems

verfasst von : Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Erschienen in: Single Flux Quantum Integrated Circuit Design

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Superconductive single flux quantum (SFQ) technology is one of the most promising beyond CMOS technologies for large-scale, high-performance computing systems. The operating frequency of SFQ circuits may exceed hundreds of gigahertz while dissipating several orders of magnitude lower power, including the refrigeration. Recent advances in SFQ manufacturing technology have enabled significantly higher levels of integration and system complexity. Further advancements in SFQ systems integration require improved design methodologies and flows. The lack of SFQ specific benchmark systems complicates the development and evaluation of these SFQ design flows. This limitation is particularly relevant to the development of physical design tools since existing CMOS benchmark systems are not easily adapted to support SFQ technology. In this chapter, a suite of SFQ specific interconnect routing benchmark systems is presented. The benchmark circuits support the evaluation of data signal, clock signal, and bias current routing algorithms. Due to the gate-level pipelining inherent to SFQ logic, path balancing using D flip flops is required to ensure consistent logic depth and correct operation. Popular CMOS benchmark circuits are converted into SFQ technology, producing SFQ circuits with over 100,000 logic gates. Based on Synopsys EDA tools, the layout of these systems is generated for the MIT LL SFQ5ee technology. Rows of logic cells and passive transmission lines are also included within the layout to manage the interconnect length and delay. The benchmark circuits are openly available to evaluate and enhance state-of-the-art and next-generation physical design of VLSI complexity SFQ systems.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Anhänge
Nur mit Berechtigung zugänglich
Literatur
25.
Zurück zum Zitat K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef
39.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019) T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019)
41.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7 T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7
42.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020) T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)
57.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759 T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759
58.
Zurück zum Zitat R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef
59.
Zurück zum Zitat T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5 T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5
60.
Zurück zum Zitat T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021) T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021)
61.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef
63.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023) T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023)
65.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5 T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5
87.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022) T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022)
111.
Zurück zum Zitat S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef
131.
Zurück zum Zitat G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020) G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020)
137.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023) T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023)
147.
Zurück zum Zitat Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)CrossRef Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)CrossRef
187.
Zurück zum Zitat O. Chen, R. Cai, Ya. Wang, F. Ke, Ta. Yamae, R. Saito, N. Takeuchi, N. Yoshikawa, Adiabatic quantum-flux-parametron: towards building extremely energy-efficient circuits and systems. Sci. Rep. 9(10514), 1–10 (2019) O. Chen, R. Cai, Ya. Wang, F. Ke, Ta. Yamae, R. Saito, N. Takeuchi, N. Yoshikawa, Adiabatic quantum-flux-parametron: towards building extremely energy-efficient circuits and systems. Sci. Rep. 9(10514), 1–10 (2019)
224.
Zurück zum Zitat S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef
235.
Zurück zum Zitat S.N. Shahsavani, T. Lin, A. Shafaei, C.J. Fourie, M. Pedram, An integrated row-based cell placement and interconnect synthesis tool for large SFQ logic circuits. IEEE Trans. Appl. Supercond. 27(4), 1–8 (2017)CrossRef S.N. Shahsavani, T. Lin, A. Shafaei, C.J. Fourie, M. Pedram, An integrated row-based cell placement and interconnect synthesis tool for large SFQ logic circuits. IEEE Trans. Appl. Supercond. 27(4), 1–8 (2017)CrossRef
242.
Zurück zum Zitat T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review
249.
Zurück zum Zitat S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015) S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)
359.
Zurück zum Zitat S.N. Shahsavani, M. Pedram, A minimum-skew clock tree synthesis algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29(8), 1–13 (2019)CrossRef S.N. Shahsavani, M. Pedram, A minimum-skew clock tree synthesis algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29(8), 1–13 (2019)CrossRef
508.
Zurück zum Zitat W.P. Burleson, M. Ciesielski, F. Klass, W. Liu, Wave-pipelining: a tutorial and research survey. IEEE Trans. Very Large Scale Integr. Syst. 6(3), 464–474 (1998)CrossRef W.P. Burleson, M. Ciesielski, F. Klass, W. Liu, Wave-pipelining: a tutorial and research survey. IEEE Trans. Very Large Scale Integr. Syst. 6(3), 464–474 (1998)CrossRef
549.
Zurück zum Zitat S.K. Tolpygo, E.B. Golden, T.J. Weir, V. Bolkhovsky, Inductance of superconductor integrated circuit features with sizes down to 120 nm. Supercond. Sci. Technol. 34(8), 1–24 (2021)CrossRef S.K. Tolpygo, E.B. Golden, T.J. Weir, V. Bolkhovsky, Inductance of superconductor integrated circuit features with sizes down to 120 nm. Supercond. Sci. Technol. 34(8), 1–24 (2021)CrossRef
576.
Zurück zum Zitat A. Shukla, D. Kirichenko, A. Sahu, B. Chonigman, A. Inamdar, Investigation of passive transmission lines for the MIT-LL SFQ5EE process. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef A. Shukla, D. Kirichenko, A. Sahu, B. Chonigman, A. Inamdar, Investigation of passive transmission lines for the MIT-LL SFQ5EE process. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef
589.
Zurück zum Zitat G. Pasandi, A. Shafaei, M. Pedram, SFQmap: a technology mapping tool for single flux quantum logic circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2018), pp. 1–5 G. Pasandi, A. Shafaei, M. Pedram, SFQmap: a technology mapping tool for single flux quantum logic circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2018), pp. 1–5
608.
Zurück zum Zitat G. Krylov, J. Kawa, E.G. Friedman, Design automation of superconductive digital circuits a review. IEEE Nanotechnol. Magn. 15(6), 54–67 (2021)CrossRef G. Krylov, J. Kawa, E.G. Friedman, Design automation of superconductive digital circuits a review. IEEE Nanotechnol. Magn. 15(6), 54–67 (2021)CrossRef
609.
Zurück zum Zitat R.N. Tadros, P.A. Beerel, A robust and self-adaptive clocking technique for SFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–11 (2018)CrossRef R.N. Tadros, P.A. Beerel, A robust and self-adaptive clocking technique for SFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–11 (2018)CrossRef
610.
Zurück zum Zitat F. Brglez, H. Fujiwara, A neutral netlist of 10 combinational benchmark circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (1985), pp. 685–698 F. Brglez, H. Fujiwara, A neutral netlist of 10 combinational benchmark circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (1985), pp. 685–698
611.
Zurück zum Zitat G. Pasandi, M. Pedram, An efficient pipelined architecture for superconducting single flux quantum logic circuits utilizing dual clocks. IEEE Trans. Appl. Supercond. 30(2), 1300412 (2020) G. Pasandi, M. Pedram, An efficient pipelined architecture for superconducting single flux quantum logic circuits utilizing dual clocks. IEEE Trans. Appl. Supercond. 30(2), 1300412 (2020)
612.
Zurück zum Zitat A. Kuehlmann, V. Paruthi, F. Krohm, M. Ganai, Robust Boolean reasoning for equivalence checking and functional property verification. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 21(12), 1377–1394 (2002)CrossRef A. Kuehlmann, V. Paruthi, F. Krohm, M. Ganai, Robust Boolean reasoning for equivalence checking and functional property verification. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 21(12), 1377–1394 (2002)CrossRef
613.
Zurück zum Zitat R. Brayton, A. Mishchenko, ABC: an academic industrial-strength verification tool, in International Conference on Computer Aided Verification (2010), pp. 24–40 R. Brayton, A. Mishchenko, ABC: an academic industrial-strength verification tool, in International Conference on Computer Aided Verification (2010), pp. 24–40
614.
Zurück zum Zitat G. Tzimpragos, J. Volk, A. Wynn, J.E. Smith, T. Sherwood, Superconducting computing with alternating logic elements, in Proceedings of the ACM/IEEE International Symposium on Computer Architecture (2021), pp. 651–664 G. Tzimpragos, J. Volk, A. Wynn, J.E. Smith, T. Sherwood, Superconducting computing with alternating logic elements, in Proceedings of the ACM/IEEE International Symposium on Computer Architecture (2021), pp. 651–664
Metadaten
Titel
Benchmark Circuits for Single Flux Quantum Integrated Systems
verfasst von
Gleb Krylov
Tahereh Jabbari
Eby G. Friedman
Copyright-Jahr
2024
DOI
https://doi.org/10.1007/978-3-031-47475-0_30

Neuer Inhalt