Skip to main content

2024 | OriginalPaper | Buchkapitel

22. Transmission Lines in VLSI Complexity Single Flux Quantum Systems

verfasst von : Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Erschienen in: Single Flux Quantum Integrated Circuit Design

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Superconductive electronics based on Josephson junctions (JJ) is a promising cryogenic alternative technology to complementary metal oxide semiconductor (CMOS) technology for ultralow energy, high-speed stationary applications. For complex superconductive systems, the automated routing process determines the topology and methodology to connect cells while satisfying design constraints. On-chip signal routing has become an issue of growing importance in modern superconductive technologies; particularly, single flux quantum (SFQ) systems. Specialized routing methods for these systems are required. These routing methods include passive transmission lines (PTLs) and Josephson transmission lines behaving as interconnects. A primary issue within a long SFQ interconnect is the effects of resonance due to the imperfect match between the PTLs and Josephson junctions. A repeater insertion methodology to reduce and manage these resonance effects is required for driving long and short interconnect in VLSI complexity SFQ systems. Permissible interconnect lengths are suggested to ensure that the reflections do not affect the returning signals. The microwave behavior of the interconnect striplines is also considered to accurately estimate the surface inductance of the lines. A closed-form expression describing the dependence of the surface inductance of a stripline on the line thickness, magnetic field, and current density is discussed. Another primary issue within SFQ circuits is coupling noise between transmission striplines, degrading performance, and decreasing margins. Inductive and capacitive coupling noise between the routing layers, for the MIT LL SFQ5ee process, is described. An analysis of inductive and capacitive coupling noise can determine the minimum physical spacing between lines to enhance the automated routing process in large-scale systems. The increasing complexity of modern SFQ circuits has also made the issue of flux trapping of growing importance. The use of wide striplines for signal routing has exacerbated this issue. Trapped residual magnetic fields within the striplines damage the operability of superconductive circuits. Area-efficient topologies for striplines are introduced to manage flux trapping in large-scale SFQ circuits. These topologies are composed of several narrow lines rather than a single wide stripline. The first approach is a narrow parallel line topology in series with small resistors where each narrow line is connected to a single small resistor and via. The resistors in the parallel line topology remove any trapped fluxons and break any loops while requiring additional vias. The second topology is a fingered narrow line topology. The fingered narrow line topology enhances the scalability of SFQ systems while not requiring additional area and vias. These topologies require significantly less area while preventing flux from being trapped within wide superconductive striplines and reducing coupling noise between striplines. These methodologies and techniques are intended as guidelines to enable robust routing with superconductive interconnects. With these and other advances in design methodologies for superconductive electronics, the complexity of SFQ circuits is expected to greatly increase.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
22.
Zurück zum Zitat T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef
39.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019) T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019)
40.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Global signaling for large scale RSFQ circuits, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2019), pp. 1–6 T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Global signaling for large scale RSFQ circuits, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2019), pp. 1–6
41.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7 T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7
42.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020) T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)
57.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759 T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759
58.
Zurück zum Zitat R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef
59.
Zurück zum Zitat T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5 T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5
60.
Zurück zum Zitat T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021) T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021)
61.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef
63.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023) T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023)
65.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5 T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5
87.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022) T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022)
111.
Zurück zum Zitat S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef
137.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023) T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023)
146.
Zurück zum Zitat T. Jabbari, G. Krylov, E.G. Friedman, Logic locking in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5) (2021) T. Jabbari, G. Krylov, E.G. Friedman, Logic locking in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5) (2021)
147.
Zurück zum Zitat Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)CrossRef Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)CrossRef
211.
Zurück zum Zitat L. Schindler, P. l. Roux, C.J. Fourie, Impedance matching of passive transmission line receivers to improve reflections between RSFQ logic cells. IEEE Trans. Appl. Supercond. 30(2), 1–7 (2020) L. Schindler, P. l. Roux, C.J. Fourie, Impedance matching of passive transmission line receivers to improve reflections between RSFQ logic cells. IEEE Trans. Appl. Supercond. 30(2), 1–7 (2020)
212.
Zurück zum Zitat H. Suzuki, S. Nagasawa, K. Miyahara, Y. Enomoto, Characteristics of driver and receiver circuits with a passive transmission line in RSFQ circuits. IEEE Trans. Appl. Supercond. 10(3), 1637–1641 (2000)CrossRef H. Suzuki, S. Nagasawa, K. Miyahara, Y. Enomoto, Characteristics of driver and receiver circuits with a passive transmission line in RSFQ circuits. IEEE Trans. Appl. Supercond. 10(3), 1637–1641 (2000)CrossRef
224.
Zurück zum Zitat S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef
237.
Zurück zum Zitat T.V. Filippova, A. Sahua, A.F. Kirichenkoa, I.V. Vernika, M. Dorojevetsb, C.L. Ayalab, O.A. Mukhanov, 20 GHz operation of an asynchronous wave-pipelined RSFQ arithmetic-logic unit. Physics Procedia 36, 59–65 (2012)CrossRef T.V. Filippova, A. Sahua, A.F. Kirichenkoa, I.V. Vernika, M. Dorojevetsb, C.L. Ayalab, O.A. Mukhanov, 20 GHz operation of an asynchronous wave-pipelined RSFQ arithmetic-logic unit. Physics Procedia 36, 59–65 (2012)CrossRef
253.
Zurück zum Zitat E.G. Friedman, Clock distribution networks in synchronous digital integrated circuits. Proc. IEEE 89(5), 665–692 (2001)CrossRef E.G. Friedman, Clock distribution networks in synchronous digital integrated circuits. Proc. IEEE 89(5), 665–692 (2001)CrossRef
271.
Zurück zum Zitat V.K. Semenov, M.M. Khapaev, How moats protect superconductor films from flux trapping. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef V.K. Semenov, M.M. Khapaev, How moats protect superconductor films from flux trapping. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef
359.
Zurück zum Zitat S.N. Shahsavani, M. Pedram, A minimum-skew clock tree synthesis algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29(8), 1–13 (2019)CrossRef S.N. Shahsavani, M. Pedram, A minimum-skew clock tree synthesis algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29(8), 1–13 (2019)CrossRef
367.
Zurück zum Zitat R.N. Tadros, P.A. Beerel, A robust and self-adaptive clocking technique for SFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1301211 (2018) R.N. Tadros, P.A. Beerel, A robust and self-adaptive clocking technique for SFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1301211 (2018)
400.
Zurück zum Zitat J.L. Neves, E.G. Friedman, Topological design of clock distribution networks based on non-zero clock skew specifications, in Proceedings of the IEEE Midwest Symposium on Circuits and Systems (1993), pp. 468–471 J.L. Neves, E.G. Friedman, Topological design of clock distribution networks based on non-zero clock skew specifications, in Proceedings of the IEEE Midwest Symposium on Circuits and Systems (1993), pp. 468–471
549.
Zurück zum Zitat S.K. Tolpygo, E.B. Golden, T.J. Weir, V. Bolkhovsky, Inductance of superconductor integrated circuit features with sizes down to 120 nm. Supercond. Sci. Technol. 34(8), 1–24 (2021)CrossRef S.K. Tolpygo, E.B. Golden, T.J. Weir, V. Bolkhovsky, Inductance of superconductor integrated circuit features with sizes down to 120 nm. Supercond. Sci. Technol. 34(8), 1–24 (2021)CrossRef
553.
Zurück zum Zitat G. Krylov, E.G Friedman, Inductive noise coupling in multilayer superconductive ICs. Microelectron. J. 126(105336), 1–5 (2022) G. Krylov, E.G Friedman, Inductive noise coupling in multilayer superconductive ICs. Microelectron. J. 126(105336), 1–5 (2022)
562.
Zurück zum Zitat S. Narayana, Y.A. Polyakov, V.K. Semenov, Evaluation of flux trapping in superconducting circuits. IEEE Trans. Appl. Supercond. 19(3), 640–643 (2009)CrossRef S. Narayana, Y.A. Polyakov, V.K. Semenov, Evaluation of flux trapping in superconducting circuits. IEEE Trans. Appl. Supercond. 19(3), 640–643 (2009)CrossRef
563.
Zurück zum Zitat Y. Hashimoto, S. Yorozu, Y. Kameda, A. Fujimaki, H. Terai, N. Yoshikawa, Development of passive interconnection technology for SFQ circuits. IEICE Trans. Electron. E88-C(2), 198–207 (2005)CrossRef Y. Hashimoto, S. Yorozu, Y. Kameda, A. Fujimaki, H. Terai, N. Yoshikawa, Development of passive interconnection technology for SFQ circuits. IEICE Trans. Electron. E88-C(2), 198–207 (2005)CrossRef
564.
Zurück zum Zitat T. Ortlepp, F.H. Uhlmann, Impedance matching of microstrip inductors in digital superconductive electronics. IEEE Trans. Appl. Supercond. 19(3), 644–648 (2009)CrossRef T. Ortlepp, F.H. Uhlmann, Impedance matching of microstrip inductors in digital superconductive electronics. IEEE Trans. Appl. Supercond. 19(3), 644–648 (2009)CrossRef
565.
Zurück zum Zitat Y.I. Ismail, E.G. Friedman, J.L. Neves, Repeater insertion in tree structured inductive interconnect. IEEE Trans. Circuits Syst. II Analog Digital Signal Process. 48(5), 471–481 (2001)CrossRef Y.I. Ismail, E.G. Friedman, J.L. Neves, Repeater insertion in tree structured inductive interconnect. IEEE Trans. Circuits Syst. II Analog Digital Signal Process. 48(5), 471–481 (2001)CrossRef
566.
Zurück zum Zitat C.J. Fourie, C. Shawawreh, I.V. Vernik, T.V. Filippov, High-accuracy InductEx calibration sets for MIT-LL SFQ4ee and SFQ5ee processes. IEEE Trans. Appl. Supercond. 27(2), 1–5 (2017)CrossRef C.J. Fourie, C. Shawawreh, I.V. Vernik, T.V. Filippov, High-accuracy InductEx calibration sets for MIT-LL SFQ4ee and SFQ5ee processes. IEEE Trans. Appl. Supercond. 27(2), 1–5 (2017)CrossRef
567.
Zurück zum Zitat G. Krylov, E.G Friedman, Inductive noise coupling in superconductive passive transmission lines, in Proceedings of the IEEE International Midwest Symposium on Circuits and Systems (2021), pp. 1–5 G. Krylov, E.G Friedman, Inductive noise coupling in superconductive passive transmission lines, in Proceedings of the IEEE International Midwest Symposium on Circuits and Systems (2021), pp. 1–5
Metadaten
Titel
Transmission Lines in VLSI Complexity Single Flux Quantum Systems
verfasst von
Gleb Krylov
Tahereh Jabbari
Eby G. Friedman
Copyright-Jahr
2024
DOI
https://doi.org/10.1007/978-3-031-47475-0_22

Neuer Inhalt