Skip to main content

2024 | OriginalPaper | Buchkapitel

23. Interconnect Routing for Large-Scale SFQ Circuits

verfasst von : Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Erschienen in: Single Flux Quantum Integrated Circuit Design

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The increasing complexity of modern rapid single flux quantum (RSFQ) circuits has made on-chip signal routing an issue of growing importance. In this chapter, several methods for routing large-scale RSFQ circuits are described, and a process is presented for determining when to use passive microstrip transmission lines (PTL) and active Josephson transmission lines (JTL). The effect of the size of the JTL inductor and Josephson junctions on the length of a JTL chain for a target delay is also discussed. The dependence of the JTL inductance on the physical layout is evaluated, and the effects of the primary PTL parameters on delay are characterized. A novel PTL driver and receiver configuration is also proposed. Trade-offs among the number of JJs, inductance, and length of a PTL stripline in the receiver and driver circuits are reported. The energy dissipation is evaluated for two different interconnects. A trade-off between the PTL circuits and an optimized JTL in terms of energy dissipation and delay is discussed. Guidelines for choosing the optimal element values are determined, and a simulated bias margin of \(\pm 29\%\) for the bias current of the receiver operating at 20 GHz in a 10 kA/cm\({ }^2\) technology for a 1 mm transmission line is achieved. Summarizing, guidelines and design trade-offs appropriate for automated layout and synthesis are provided for driving long interconnect in SFQ VLSI circuits.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
22.
Zurück zum Zitat T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef
39.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019) T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019)
41.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7 T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7
42.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020) T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)
57.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759 T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759
58.
Zurück zum Zitat R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef
65.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5 T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5
87.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022) T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022)
111.
Zurück zum Zitat S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef
165.
Zurück zum Zitat T. Van Duzer, C.W. Turner, Principles of Superconductive Devices and Circuits, 2nd edn. (Prentice Hall, Hoboken, 1999) T. Van Duzer, C.W. Turner, Principles of Superconductive Devices and Circuits, 2nd edn. (Prentice Hall, Hoboken, 1999)
201.
Zurück zum Zitat A. Fujimaki, M. Tanaka, T. Yamada, Y. Yamanashi, H. Park, N. Yoshikawa, Bit-serial single flux quantum microprocessor CORE. IEICE Trans. Electron. 91(3), 342–349 (2008)CrossRef A. Fujimaki, M. Tanaka, T. Yamada, Y. Yamanashi, H. Park, N. Yoshikawa, Bit-serial single flux quantum microprocessor CORE. IEICE Trans. Electron. 91(3), 342–349 (2008)CrossRef
209.
Zurück zum Zitat Y. Hashimoto, S. Yorozu, Y. Kameda, A. Fujimaki, H. Terai, N. Yoshikawa, Design and investigation of gate-to-gate passive interconnections for SFQ logic circuits. IEEE Trans. Appl. Supercond. 15(3), 3814–3820 (2005)CrossRef Y. Hashimoto, S. Yorozu, Y. Kameda, A. Fujimaki, H. Terai, N. Yoshikawa, Design and investigation of gate-to-gate passive interconnections for SFQ logic circuits. IEEE Trans. Appl. Supercond. 15(3), 3814–3820 (2005)CrossRef
212.
Zurück zum Zitat H. Suzuki, S. Nagasawa, K. Miyahara, Y. Enomoto, Characteristics of driver and receiver circuits with a passive transmission line in RSFQ circuits. IEEE Trans. Appl. Supercond. 10(3), 1637–1641 (2000)CrossRef H. Suzuki, S. Nagasawa, K. Miyahara, Y. Enomoto, Characteristics of driver and receiver circuits with a passive transmission line in RSFQ circuits. IEEE Trans. Appl. Supercond. 10(3), 1637–1641 (2000)CrossRef
213.
Zurück zum Zitat S. Razmkhah, A. Bozbey, Design of the passive transmission lines for different stripline widths and impedances. IEEE Trans. Appl. Supercond. 26(8), 1–6 (2016)CrossRef S. Razmkhah, A. Bozbey, Design of the passive transmission lines for different stripline widths and impedances. IEEE Trans. Appl. Supercond. 26(8), 1–6 (2016)CrossRef
233.
Zurück zum Zitat D.K. Brock, RSFQ technology: circuits and systems. Int. J. High Speed Electron. Syst. 11(1), 307–362 (2001)CrossRef D.K. Brock, RSFQ technology: circuits and systems. Int. J. High Speed Electron. Syst. 11(1), 307–362 (2001)CrossRef
241.
Zurück zum Zitat Y. Kameda, S. Yorozu, Y. Hashimoto, A new design methodology for single-flux-quantum (SFQ) logic circuits using passive-transmission-line (PTL) wiring. IEEE Trans. Appl. Supercond. 17(2), 508–511 (2007)CrossRef Y. Kameda, S. Yorozu, Y. Hashimoto, A new design methodology for single-flux-quantum (SFQ) logic circuits using passive-transmission-line (PTL) wiring. IEEE Trans. Appl. Supercond. 17(2), 508–511 (2007)CrossRef
249.
Zurück zum Zitat S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015) S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)
389.
Zurück zum Zitat K. Gaj, Q.P. Herr, V. Adler, A. Krasniewski, E.G. Friedman, M.J. Feldman, Tools for the computer-aided design of multigigahertz superconducting digital circuits. IEEE Trans. Appl. Supercond. 9(1), 18–38 (1999)CrossRef K. Gaj, Q.P. Herr, V. Adler, A. Krasniewski, E.G. Friedman, M.J. Feldman, Tools for the computer-aided design of multigigahertz superconducting digital circuits. IEEE Trans. Appl. Supercond. 9(1), 18–38 (1999)CrossRef
390.
Zurück zum Zitat C.J. Fourie, Digital superconducting electronics design tools - status and roadmap. IEEE Trans. Appl. Supercond. 28(5), 1–12 (2018)CrossRef C.J. Fourie, Digital superconducting electronics design tools - status and roadmap. IEEE Trans. Appl. Supercond. 28(5), 1–12 (2018)CrossRef
549.
Zurück zum Zitat S.K. Tolpygo, E.B. Golden, T.J. Weir, V. Bolkhovsky, Inductance of superconductor integrated circuit features with sizes down to 120 nm. Supercond. Sci. Technol. 34(8), 1–24 (2021)CrossRef S.K. Tolpygo, E.B. Golden, T.J. Weir, V. Bolkhovsky, Inductance of superconductor integrated circuit features with sizes down to 120 nm. Supercond. Sci. Technol. 34(8), 1–24 (2021)CrossRef
565.
Zurück zum Zitat Y.I. Ismail, E.G. Friedman, J.L. Neves, Repeater insertion in tree structured inductive interconnect. IEEE Trans. Circuits Syst. II Analog Digital Signal Process. 48(5), 471–481 (2001)CrossRef Y.I. Ismail, E.G. Friedman, J.L. Neves, Repeater insertion in tree structured inductive interconnect. IEEE Trans. Circuits Syst. II Analog Digital Signal Process. 48(5), 471–481 (2001)CrossRef
568.
Zurück zum Zitat H. Engseth, S. Intiso, M.R. Rafique, E. Tolkacheva, A. Kidiyarova-Shevchenko, A high frequency test bench for rapid single-flux-quantum circuits. Supercond. Sci. Technol. 19(5), S376–S380 (2006)CrossRef H. Engseth, S. Intiso, M.R. Rafique, E. Tolkacheva, A. Kidiyarova-Shevchenko, A high frequency test bench for rapid single-flux-quantum circuits. Supercond. Sci. Technol. 19(5), S376–S380 (2006)CrossRef
569.
Zurück zum Zitat Y. Yamanashi, M. Tanaka, A. Akimoto, H. Park, Y. Kamiya, N. Irie, N. Yoshikawa, A. Fujimaki, H. Terai, Y. Hashimoto, Design and implementation of a pipelined bit-serial SFQ microprocessor, CORE1\(\beta \). IEEE Trans. Appl. Supercond. 17(2), 474–477 (2007) Y. Yamanashi, M. Tanaka, A. Akimoto, H. Park, Y. Kamiya, N. Irie, N. Yoshikawa, A. Fujimaki, H. Terai, Y. Hashimoto, Design and implementation of a pipelined bit-serial SFQ microprocessor, CORE1\(\beta \). IEEE Trans. Appl. Supercond. 17(2), 474–477 (2007)
570.
Zurück zum Zitat Y. Hashimoto, S. Nagasawa, T. Satoh, K. Hinode, H. Suzuki, T. Miyazaki, M. Hidaka, N. Yoshikawa, H. Terai, A. Fujimaki, Superconductive single-flux-quantum circuit/system technology and 40 Gb/s switch system demonstration, in Proceedings of the IEEE International Solid-State Circuits Conference (2008), pp. 532–533 Y. Hashimoto, S. Nagasawa, T. Satoh, K. Hinode, H. Suzuki, T. Miyazaki, M. Hidaka, N. Yoshikawa, H. Terai, A. Fujimaki, Superconductive single-flux-quantum circuit/system technology and 40 Gb/s switch system demonstration, in Proceedings of the IEEE International Solid-State Circuits Conference (2008), pp. 532–533
571.
Zurück zum Zitat K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, Y. Hashimoto, Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters. IEICE Electron. Express 5(9), 332–337 (2008)CrossRef K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, Y. Hashimoto, Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters. IEICE Electron. Express 5(9), 332–337 (2008)CrossRef
572.
Zurück zum Zitat D.T. Yohannes, A. Inamdar, S.K. Tolpygo, Multi-J\({ }_c\) (Josephson critical current density) process for superconductor integrated circuits. IEEE Trans. Appl. Supercond. 19(3), 149–153 (2009) D.T. Yohannes, A. Inamdar, S.K. Tolpygo, Multi-J\({ }_c\) (Josephson critical current density) process for superconductor integrated circuits. IEEE Trans. Appl. Supercond. 19(3), 149–153 (2009)
573.
Zurück zum Zitat Y. Hashimoto, S. Yorozu, Y. Kameda, V.K. Semenov, A design approach to passive interconnects for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 13(2), 535–538 (2003)CrossRef Y. Hashimoto, S. Yorozu, Y. Kameda, V.K. Semenov, A design approach to passive interconnects for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 13(2), 535–538 (2003)CrossRef
574.
Zurück zum Zitat M. Tanaka et al., Demonstration of a single-flux-quantum microprocessor using passive transmission lines. IEEE Trans. Appl. Supercond. 15(2), 400–404 (2005)CrossRef M. Tanaka et al., Demonstration of a single-flux-quantum microprocessor using passive transmission lines. IEEE Trans. Appl. Supercond. 15(2), 400–404 (2005)CrossRef
575.
Zurück zum Zitat V. Adler, E.G. Friedman, Uniform repeater insertion in RC trees. IEEE Trans. Circuits Syst. I: Fundam. Theory Appl. 47(10), 1515–1523 (2000)CrossRef V. Adler, E.G. Friedman, Uniform repeater insertion in RC trees. IEEE Trans. Circuits Syst. I: Fundam. Theory Appl. 47(10), 1515–1523 (2000)CrossRef
576.
Zurück zum Zitat A. Shukla, D. Kirichenko, A. Sahu, B. Chonigman, A. Inamdar, Investigation of passive transmission lines for the MIT-LL SFQ5EE process. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef A. Shukla, D. Kirichenko, A. Sahu, B. Chonigman, A. Inamdar, Investigation of passive transmission lines for the MIT-LL SFQ5EE process. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef
Metadaten
Titel
Interconnect Routing for Large-Scale SFQ Circuits
verfasst von
Gleb Krylov
Tahereh Jabbari
Eby G. Friedman
Copyright-Jahr
2024
DOI
https://doi.org/10.1007/978-3-031-47475-0_23

Neuer Inhalt