Skip to main content

2024 | OriginalPaper | Buchkapitel

14. EDA for Superconductive Electronics

verfasst von : Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Erschienen in: Single Flux Quantum Integrated Circuit Design

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Electronic design automation (EDA) is essential for the computer-aided design (CAD) of large-scale systems. In this chapter, EDA methodologies, techniques, and algorithms used in superconductive electronics are discussed. The semi-custom standard cell-based design flow, common in conventional CMOS circuits, is increasingly widely adopted in modern superconductive circuits. Differences and issues in computer-aided design flows as compared to CMOS design methodologies are highlighted. The most common stages of these design flows, from high-level simulation to physical layout, are described. These stages are grouped into three areas—simulation/modeling, synthesis, and verification. For the automated synthesis process, methodologies and algorithms are described for logic synthesis and automated place and route. For the simulation and modeling process, RTL simulation based on hardware design languages and different dynamic and static circuit simulators, as well as inductance extraction tools, are described. For the verification process, timing analysis methodologies and related timing constraints suitable for modern superconductive circuits are discussed, and verification approaches are reviewed. Existing EDA tools and techniques for superconductive electronics are immature as compared to CMOS EDA tools. Significant research efforts are, however, directed at improving and developing novel algorithms and design methodologies that target superconductive circuits. The effectiveness of these tools is improving to enable large-scale superconductive systems.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
22.
Zurück zum Zitat T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef
25.
Zurück zum Zitat K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef
38.
Zurück zum Zitat S. Whiteley, E. Mlinar, G. Krylov, T. Jabbari, E.G. Friedman, J. Kawa, An SFQ digital circuit technology with fully-passive transmission line interconnect, in Proceedings of the Applied Superconductivity Conference (2020) S. Whiteley, E. Mlinar, G. Krylov, T. Jabbari, E.G. Friedman, J. Kawa, An SFQ digital circuit technology with fully-passive transmission line interconnect, in Proceedings of the Applied Superconductivity Conference (2020)
39.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019) T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019)
41.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7 T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7
42.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020) T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)
47.
Zurück zum Zitat T. Jabbari, E.G. Friedman, SFQ/DQFP interface circuits. IEEE Trans. Appl. Supercond. 33(5), 1–5 (2023) T. Jabbari, E.G. Friedman, SFQ/DQFP interface circuits. IEEE Trans. Appl. Supercond. 33(5), 1–5 (2023)
56.
Zurück zum Zitat T. Jabbari, M. Bocko, E.G. Friedman, All-JJ logic based on bistable JJs. IEEE Trans. Appl. Supercond. 33(5), 1–7 (2023) T. Jabbari, M. Bocko, E.G. Friedman, All-JJ logic based on bistable JJs. IEEE Trans. Appl. Supercond. 33(5), 1–7 (2023)
57.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759 T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759
58.
Zurück zum Zitat R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef
59.
Zurück zum Zitat T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5 T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5
60.
Zurück zum Zitat T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021) T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021)
61.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef
63.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023) T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023)
65.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5 T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5
86.
Zurück zum Zitat A.R. Kerr, Surface impedance of superconductors and normal conductors in EM simulators. National Radio Astronomy Observatory, Electronics Division Internal Report, No. 302 (1996) A.R. Kerr, Surface impedance of superconductors and normal conductors in EM simulators. National Radio Astronomy Observatory, Electronics Division Internal Report, No. 302 (1996)
87.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022) T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022)
88.
Zurück zum Zitat K.K. Likharev, Dynamics of Josephson Junctions and Circuits (Gordon and Breach Science Publishers, London, 1986) K.K. Likharev, Dynamics of Josephson Junctions and Circuits (Gordon and Breach Science Publishers, London, 1986)
110.
Zurück zum Zitat G. Krylov, E.G. Friedman, Partitioning RSFQ circuits for current recycling. IEEE Trans. Appl. Supercond. 31(5), 1–6 (2021)CrossRef G. Krylov, E.G. Friedman, Partitioning RSFQ circuits for current recycling. IEEE Trans. Appl. Supercond. 31(5), 1–6 (2021)CrossRef
111.
Zurück zum Zitat S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef
131.
Zurück zum Zitat G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020) G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020)
132.
Zurück zum Zitat G. Krylov, E.G. Friedman, Asynchronous dynamic single flux quantum majority gates. IEEE Trans. Appl. Supercond. 30(5), 1–7 (2020). Art no. 1300907 G. Krylov, E.G. Friedman, Asynchronous dynamic single flux quantum majority gates. IEEE Trans. Appl. Supercond. 30(5), 1–7 (2020). Art no. 1300907
137.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023) T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023)
145.
Zurück zum Zitat H. Kumar, T. Jabbari, G. Krylov, K. Basu, E.G. Friedman, R. Karri, Toward increasing the difficulty of reverse engineering of RSFQ circuits. IEEE Trans. Appl. Supercond. 30(3), 1–13 (2020)CrossRef H. Kumar, T. Jabbari, G. Krylov, K. Basu, E.G. Friedman, R. Karri, Toward increasing the difficulty of reverse engineering of RSFQ circuits. IEEE Trans. Appl. Supercond. 30(3), 1–13 (2020)CrossRef
147.
Zurück zum Zitat Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)CrossRef Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)CrossRef
149.
Zurück zum Zitat G. Krylov, E.G. Friedman, Bias distribution in ERSFQ VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2020), pp. 1–5 G. Krylov, E.G. Friedman, Bias distribution in ERSFQ VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2020), pp. 1–5
154.
Zurück zum Zitat V.F. Pavlidis, I. Savidis, E.G. Friedman, Three-Dimensional Integrated Circuit Design, 2nd edn. (Morgan Kaufmann, Burlington, 2017) V.F. Pavlidis, I. Savidis, E.G. Friedman, Three-Dimensional Integrated Circuit Design, 2nd edn. (Morgan Kaufmann, Burlington, 2017)
159.
Zurück zum Zitat G. Krylov, E.G. Friedman, Design for testability of SFQ circuits. IEEE Trans. Appl. Supercond. 27(8), 1–7 (2017)CrossRef G. Krylov, E.G. Friedman, Design for testability of SFQ circuits. IEEE Trans. Appl. Supercond. 27(8), 1–7 (2017)CrossRef
171.
Zurück zum Zitat E. Salman, E.G. Friedman, High Performance Integrated Circuit Design (McGraw-Hill Publishers, New York City, 2012) E. Salman, E.G. Friedman, High Performance Integrated Circuit Design (McGraw-Hill Publishers, New York City, 2012)
177.
Zurück zum Zitat O.T. Oberg, Superconducting Logic Circuits Operating with Reciprocal Magnetic Flux Quanta, Ph.D. Dissertation, University of Maryland, College Park, Maryland, 2011 O.T. Oberg, Superconducting Logic Circuits Operating with Reciprocal Magnetic Flux Quanta, Ph.D. Dissertation, University of Maryland, College Park, Maryland, 2011
216.
Zurück zum Zitat G. Krylov, E.G. Friedman, Globally asynchronous, locally synchronous clocking and shared interconnect for large-scale SFQ systems. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019) G. Krylov, E.G. Friedman, Globally asynchronous, locally synchronous clocking and shared interconnect for large-scale SFQ systems. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019)
217.
Zurück zum Zitat G. Krylov, E.G. Friedman, Test point insertion for RSFQ circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2017), pp. 2022–2025 G. Krylov, E.G. Friedman, Test point insertion for RSFQ circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2017), pp. 2022–2025
224.
Zurück zum Zitat S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef
231.
Zurück zum Zitat K. Gaj, E.G. Friedman, M.J. Feldman, Timing of multi-gigahertz rapid single flux quantum digital circuits. J. VLSI Sig. Process. Syst. 16(2/3), 247–276 (1997)CrossRef K. Gaj, E.G. Friedman, M.J. Feldman, Timing of multi-gigahertz rapid single flux quantum digital circuits. J. VLSI Sig. Process. Syst. 16(2/3), 247–276 (1997)CrossRef
235.
Zurück zum Zitat S.N. Shahsavani, T. Lin, A. Shafaei, C.J. Fourie, M. Pedram, An integrated row-based cell placement and interconnect synthesis tool for large SFQ logic circuits. IEEE Trans. Appl. Supercond. 27(4), 1–8 (2017)CrossRef S.N. Shahsavani, T. Lin, A. Shafaei, C.J. Fourie, M. Pedram, An integrated row-based cell placement and interconnect synthesis tool for large SFQ logic circuits. IEEE Trans. Appl. Supercond. 27(4), 1–8 (2017)CrossRef
241.
Zurück zum Zitat Y. Kameda, S. Yorozu, Y. Hashimoto, A new design methodology for single-flux-quantum (SFQ) logic circuits using passive-transmission-line (PTL) wiring. IEEE Trans. Appl. Supercond. 17(2), 508–511 (2007)CrossRef Y. Kameda, S. Yorozu, Y. Hashimoto, A new design methodology for single-flux-quantum (SFQ) logic circuits using passive-transmission-line (PTL) wiring. IEEE Trans. Appl. Supercond. 17(2), 508–511 (2007)CrossRef
242.
Zurück zum Zitat T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review
254.
Zurück zum Zitat L. Amarú, P. Gaillardon, G. De Micheli, Majority-inverter graph: a new paradigm for logic optimization. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 35(5), 806–819 (2016)CrossRef L. Amarú, P. Gaillardon, G. De Micheli, Majority-inverter graph: a new paradigm for logic optimization. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 35(5), 806–819 (2016)CrossRef
256.
Zurück zum Zitat K. Inoue, N. Takeuchi, K. Ehara, Y. Yamanashi, N. Yoshikawa, Simulation and experimental demonstration of logic circuits using an ultra-low-power adiabatic quantum-flux-parametron. IEEE Trans. Appl. Supercond. 23(3), 1301105 (2013) K. Inoue, N. Takeuchi, K. Ehara, Y. Yamanashi, N. Yoshikawa, Simulation and experimental demonstration of logic circuits using an ultra-low-power adiabatic quantum-flux-parametron. IEEE Trans. Appl. Supercond. 23(3), 1301105 (2013)
262.
Zurück zum Zitat L. Amarú, P. Gaillardon, A. Chattopadhyay, G. De Micheli, A sound and complete axiomatization of majority-n logic. IEEE Trans. Comput. 65(9), 2889–2895 (2016)MathSciNetCrossRef L. Amarú, P. Gaillardon, A. Chattopadhyay, G. De Micheli, A sound and complete axiomatization of majority-n logic. IEEE Trans. Comput. 65(9), 2889–2895 (2016)MathSciNetCrossRef
264.
Zurück zum Zitat C.J. Fourie, O. Wetzstein, T. Ortlepp, J. Kunert, Three-dimensional multi-terminal superconductive integrated circuit inductance extraction. Supercond. Sci. Technol. 24(12), 125015 (2011) C.J. Fourie, O. Wetzstein, T. Ortlepp, J. Kunert, Three-dimensional multi-terminal superconductive integrated circuit inductance extraction. Supercond. Sci. Technol. 24(12), 125015 (2011)
267.
Zurück zum Zitat D. Amparo, M. Eren Çelik, S. Nath, J.P. Cerqueira, A. Inamdar, Timing characterization for RSFQ cell library. IEEE Trans. Appl. Supercond. 29(5), 1–9 (2019)CrossRef D. Amparo, M. Eren Çelik, S. Nath, J.P. Cerqueira, A. Inamdar, Timing characterization for RSFQ cell library. IEEE Trans. Appl. Supercond. 29(5), 1–9 (2019)CrossRef
268.
Zurück zum Zitat X. Liu, M.C. Papaefthymiou, E.G. Friedman, Retiming and clock scheduling for digital circuit optimization. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 21(2), 184–203 (2002)CrossRef X. Liu, M.C. Papaefthymiou, E.G. Friedman, Retiming and clock scheduling for digital circuit optimization. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 21(2), 184–203 (2002)CrossRef
276.
Zurück zum Zitat Y. He, C.L. Ayala, N. Takeuchi, T. Yamae, Y. Hironaka, A. Sahu, V. Gupta, A. Talalaevskii, D. Gupta, N. Yoshikawa, A compact AQFP logic cell design using an 8-metal layer superconductor process. Supercond. Sci. Technol. 33(3), 035010 (2020) Y. He, C.L. Ayala, N. Takeuchi, T. Yamae, Y. Hironaka, A. Sahu, V. Gupta, A. Talalaevskii, D. Gupta, N. Yoshikawa, A compact AQFP logic cell design using an 8-metal layer superconductor process. Supercond. Sci. Technol. 33(3), 035010 (2020)
362.
Zurück zum Zitat P. Bunyk, P. Litskevitch, Case study in RSFQ design: fast pipelined parallel adder. IEEE Trans. Appl. Supercond. 9(2), 3714–3720 (1999)CrossRef P. Bunyk, P. Litskevitch, Case study in RSFQ design: fast pipelined parallel adder. IEEE Trans. Appl. Supercond. 9(2), 3714–3720 (1999)CrossRef
363.
Zurück zum Zitat S.N. Shahsavani, A. Shafaei, M. Pedram, A placement algorithm for superconducting logic circuits based on cell grouping and super-cell placement. Proc. IEEE Des. Autom. Test Eur. Conf. 29, 1465–1468 (2018) S.N. Shahsavani, A. Shafaei, M. Pedram, A placement algorithm for superconducting logic circuits based on cell grouping and super-cell placement. Proc. IEEE Des. Autom. Test Eur. Conf. 29, 1465–1468 (2018)
389.
Zurück zum Zitat K. Gaj, Q.P. Herr, V. Adler, A. Krasniewski, E.G. Friedman, M.J. Feldman, Tools for the computer-aided design of multigigahertz superconducting digital circuits. IEEE Trans. Appl. Supercond. 9(1), 18–38 (1999)CrossRef K. Gaj, Q.P. Herr, V. Adler, A. Krasniewski, E.G. Friedman, M.J. Feldman, Tools for the computer-aided design of multigigahertz superconducting digital circuits. IEEE Trans. Appl. Supercond. 9(1), 18–38 (1999)CrossRef
402.
Zurück zum Zitat J. Rosenfeld, E.G. Friedman, Design methodology for global resonant H-tree clock distribution networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 15(2), 135–148 (2007) J. Rosenfeld, E.G. Friedman, Design methodology for global resonant H-tree clock distribution networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 15(2), 135–148 (2007)
405.
Zurück zum Zitat K. Gaj, Q.P. Herr, V. Adler, D.K. Brock, E.G. Friedman, M.J. Feldman, Toward a systematic design methodology for large multigigahertz rapid single flux quantum circuits. IEEE Trans. Appl. Supercond. 9(3), 4591–4606 (1999)CrossRef K. Gaj, Q.P. Herr, V. Adler, D.K. Brock, E.G. Friedman, M.J. Feldman, Toward a systematic design methodology for large multigigahertz rapid single flux quantum circuits. IEEE Trans. Appl. Supercond. 9(3), 4591–4606 (1999)CrossRef
406.
Zurück zum Zitat A.B. Kahng, J. Lienig, I.L. Markov, J. Hu, VLSI Physical Design: From Graph Partitioning to Timing Closure (Springer Netherlands, Dordrecht, 2011)CrossRef A.B. Kahng, J. Lienig, I.L. Markov, J. Hu, VLSI Physical Design: From Graph Partitioning to Timing Closure (Springer Netherlands, Dordrecht, 2011)CrossRef
408.
Zurück zum Zitat S. Anders, M.G. Blamire, F.-Im. Buchholz, D.-G. Crété, R. Cristiano, P. Febvre, L. Fritzsch, A. Herr, E. Il’ichev, J. Kohlmann, J. Kunert, H.-G. Meyer, J. Niemeyer, T. Ortlepp, H. Rogalla, T. Schurig, M. Siegel, R. Stolz, E. Tarte, H.J.M. ter Brake, H. Toepfer, J.-C. Villegier, A.M. Zagoskin, A.B. Zorin, European roadmap on superconductive electronics – status and perspectives. Phys. C Supercond. 470(23), 2079–2126 (2010) S. Anders, M.G. Blamire, F.-Im. Buchholz, D.-G. Crété, R. Cristiano, P. Febvre, L. Fritzsch, A. Herr, E. Il’ichev, J. Kohlmann, J. Kunert, H.-G. Meyer, J. Niemeyer, T. Ortlepp, H. Rogalla, T. Schurig, M. Siegel, R. Stolz, E. Tarte, H.J.M. ter Brake, H. Toepfer, J.-C. Villegier, A.M. Zagoskin, A.B. Zorin, European roadmap on superconductive electronics – status and perspectives. Phys. C Supercond. 470(23), 2079–2126 (2010)
409.
Zurück zum Zitat S. Yorozu, Y. Kameda, H. Terai, A. Fujimaki, T. Yamada, S. Tahara, A single flux quantum standard logic cell library. Phys. C Supercond. 378–381, 1471–1474 (2002)CrossRef S. Yorozu, Y. Kameda, H. Terai, A. Fujimaki, T. Yamada, S. Tahara, A single flux quantum standard logic cell library. Phys. C Supercond. 378–381, 1471–1474 (2002)CrossRef
410.
Zurück zum Zitat S. Tahara, H. Numata, S. Yorozu, Y. Hashimoto, S. Nagasawa, Superconducting technology for digital applications using niobium Josephson junctions. IEICE Trans. Electron. 83(1), 60–68 (2000) S. Tahara, H. Numata, S. Yorozu, Y. Hashimoto, S. Nagasawa, Superconducting technology for digital applications using niobium Josephson junctions. IEICE Trans. Electron. 83(1), 60–68 (2000)
411.
Zurück zum Zitat M. Maezawa, M. Ochiai, H. Kimura, F. Hirayama, M. Suzuki, Design and operation of RSFQ cell library fabricated by using a 10-\(\mathrm {kA/cm}^{2}\) Nb technology. IEEE Trans. Appl. Supercond. 17(2), 500–504 (2007) M. Maezawa, M. Ochiai, H. Kimura, F. Hirayama, M. Suzuki, Design and operation of RSFQ cell library fabricated by using a 10-\(\mathrm {kA/cm}^{2}\) Nb technology. IEEE Trans. Appl. Supercond. 17(2), 500–504 (2007)
412.
Zurück zum Zitat M. Maezawa, F. Hirayama, M. Suzuki, Design and fabrication of RSFQ cell library for middle-scale applications. Phys. C Supercond. 412–414, 1591–1596 (2004)CrossRef M. Maezawa, F. Hirayama, M. Suzuki, Design and fabrication of RSFQ cell library for middle-scale applications. Phys. C Supercond. 412–414, 1591–1596 (2004)CrossRef
413.
Zurück zum Zitat A. Inamdar, D. Amparo, B. Sahoo, J. Ren, A. Sahu, RSFQ/ERSFQ cell library with improved circuit optimization, timing verification, and test characterization. IEEE Trans. Appl. Supercond. 27(4), 1–9 (2017)CrossRef A. Inamdar, D. Amparo, B. Sahoo, J. Ren, A. Sahu, RSFQ/ERSFQ cell library with improved circuit optimization, timing verification, and test characterization. IEEE Trans. Appl. Supercond. 27(4), 1–9 (2017)CrossRef
414.
Zurück zum Zitat C.L. Ayala, R. Saito, T. Tanaka, O. Chen, N. Takeuchi, Y. He, N. Yoshikawa, A semi-custom design methodology and environment for implementing superconductor adiabatic quantum-flux-parametron microprocessors. Supercond. Sci. Technol. 33(5), 054006 (2020) C.L. Ayala, R. Saito, T. Tanaka, O. Chen, N. Takeuchi, Y. He, N. Yoshikawa, A semi-custom design methodology and environment for implementing superconductor adiabatic quantum-flux-parametron microprocessors. Supercond. Sci. Technol. 33(5), 054006 (2020)
415.
Zurück zum Zitat C.L. Ayala, O. Chen, N. Yoshikawa, AQFPTX: adiabatic quantum-flux-parametron timing eXtraction tool, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3 C.L. Ayala, O. Chen, N. Yoshikawa, AQFPTX: adiabatic quantum-flux-parametron timing eXtraction tool, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3
416.
Zurück zum Zitat K. Gaj, C. Cheah, E.G. Friedman, M.J. Feldman, Functional modeling of RSFQ circuits using verilog HDL. IEEE Trans. Appl. Supercond. 7(2), 3151–3154 (1997)CrossRef K. Gaj, C. Cheah, E.G. Friedman, M.J. Feldman, Functional modeling of RSFQ circuits using verilog HDL. IEEE Trans. Appl. Supercond. 7(2), 3151–3154 (1997)CrossRef
417.
Zurück zum Zitat A. Krasniewski, Logic simulation of RSFQ circuits. IEEE Trans. Appl. Supercond. 3(1), 33–38 (1993)CrossRef A. Krasniewski, Logic simulation of RSFQ circuits. IEEE Trans. Appl. Supercond. 3(1), 33–38 (1993)CrossRef
418.
Zurück zum Zitat S.V. Polonsky, V.K. Semenov, P.N. Shevchenko, PSCAN: personal superconductor circuit analyser. Supercond. Sci. Technol. 4(11), 667–670 (1991)CrossRef S.V. Polonsky, V.K. Semenov, P.N. Shevchenko, PSCAN: personal superconductor circuit analyser. Supercond. Sci. Technol. 4(11), 667–670 (1991)CrossRef
419.
Zurück zum Zitat P. Bunyk, A.Y. Kidiyarova-Shevchenko, P. Litskevitch, RSFQ microprocessor: new design approaches. IEEE Trans. Appl. Supercond. 7(2), 2697–2704 (1997)CrossRef P. Bunyk, A.Y. Kidiyarova-Shevchenko, P. Litskevitch, RSFQ microprocessor: new design approaches. IEEE Trans. Appl. Supercond. 7(2), 2697–2704 (1997)CrossRef
420.
Zurück zum Zitat H. Toepfer, T. Harnisch, J. Kunert, S. Lange, H.F. Uhlmann, Formal description of the functional behavior of RSFQ logic circuits for design and optimization purposes. IEEE Trans. Appl. Supercond. 7(2), 3630–3633 (1997)CrossRef H. Toepfer, T. Harnisch, J. Kunert, S. Lange, H.F. Uhlmann, Formal description of the functional behavior of RSFQ logic circuits for design and optimization purposes. IEEE Trans. Appl. Supercond. 7(2), 3630–3633 (1997)CrossRef
421.
Zurück zum Zitat F. Matsuzaki, N. Yoshikawa, M. Tanaka, A. Fujimaki, Y. Takai, A behavioral-level HDL description of SFQ logic circuits for quantitative performance analysis of large-scale SFQ digital systems. Phys. C Supercond. 392–396, 1495–1500 (2003)CrossRef F. Matsuzaki, N. Yoshikawa, M. Tanaka, A. Fujimaki, Y. Takai, A behavioral-level HDL description of SFQ logic circuits for quantitative performance analysis of large-scale SFQ digital systems. Phys. C Supercond. 392–396, 1495–1500 (2003)CrossRef
422.
Zurück zum Zitat S. Intiso, I. Kataeva, E. Tolkacheva, H. Engseth, K. Platov, A. Kidiyarova-Shevchenko, Time-delay optimization of RSFQ cells. IEEE Trans. Appl. Supercond. 15(2), 328–331 (2005)CrossRef S. Intiso, I. Kataeva, E. Tolkacheva, H. Engseth, K. Platov, A. Kidiyarova-Shevchenko, Time-delay optimization of RSFQ cells. IEEE Trans. Appl. Supercond. 15(2), 328–331 (2005)CrossRef
423.
Zurück zum Zitat A.K. Kasperek, 32-bit Superconductor Integer and Floating-Point Multipliers, Ph.D. Dissertation, Stony Brook University, Stony Brook, New York, 2012 A.K. Kasperek, 32-bit Superconductor Integer and Floating-Point Multipliers, Ph.D. Dissertation, Stony Brook University, Stony Brook, New York, 2012
424.
Zurück zum Zitat L.C. Müller, C.J. Fourie, Automated state machine and timing characteristic extraction for RSFQ circuits. IEEE Trans. Appl. Supercond. 24(1), 3–12 (2014)CrossRef L.C. Müller, C.J. Fourie, Automated state machine and timing characteristic extraction for RSFQ circuits. IEEE Trans. Appl. Supercond. 24(1), 3–12 (2014)CrossRef
425.
Zurück zum Zitat C.J. Fourie, Extraction of DC-biased SFQ circuit verilog models. IEEE Trans. Appl. Supercond. 28(6), 1–11 (2018)CrossRef C.J. Fourie, Extraction of DC-biased SFQ circuit verilog models. IEEE Trans. Appl. Supercond. 28(6), 1–11 (2018)CrossRef
426.
Zurück zum Zitat Q. Xu, C.L. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa, HDL-based modeling approach for digital simulation of adiabatic quantum flux parametron logic. IEEE Trans. Appl. Supercond. 26(8), 1–5 (2016)CrossRef Q. Xu, C.L. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa, HDL-based modeling approach for digital simulation of adiabatic quantum flux parametron logic. IEEE Trans. Appl. Supercond. 26(8), 1–5 (2016)CrossRef
427.
Zurück zum Zitat R.N. Tadros, A. Fayyazi, M. Pedram, P.A. Beerel, SystemVerilog modeling of SFQ and AQFP circuits. IEEE Trans. Appl. Supercond. 30(2), 1–13 (2020)CrossRef R.N. Tadros, A. Fayyazi, M. Pedram, P.A. Beerel, SystemVerilog modeling of SFQ and AQFP circuits. IEEE Trans. Appl. Supercond. 30(2), 1–13 (2020)CrossRef
428.
Zurück zum Zitat L.W. Nagel, D.O. Pederson, SPICE (Simulation Program with Integrated Circuit Emphasis), EECS Department, University of California, Berkeley, Technical Report UCB/ERL M382, April 1973 L.W. Nagel, D.O. Pederson, SPICE (Simulation Program with Integrated Circuit Emphasis), EECS Department, University of California, Berkeley, Technical Report UCB/ERL M382, April 1973
429.
Zurück zum Zitat S.R. Whiteley, Josephson junctions in SPICE3, IEEE Trans. Magn. 27(2), 2902–2905 (1991)CrossRef S.R. Whiteley, Josephson junctions in SPICE3, IEEE Trans. Magn. 27(2), 2902–2905 (1991)CrossRef
430.
Zurück zum Zitat E.S. Fang, T. Van Duzer, A Josephson integrated circuit simulator (JSIM) for superconductive electronics application, in Proceedings of the IEEE International Superconductive Electronics Conference (1989), pp. 407–410 E.S. Fang, T. Van Duzer, A Josephson integrated circuit simulator (JSIM) for superconductive electronics application, in Proceedings of the IEEE International Superconductive Electronics Conference (1989), pp. 407–410
431.
Zurück zum Zitat J.A. Delport, K. Jackman, P.l. Roux, C.J. Fourie, JoSIM – superconductor SPICE simulator. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019) J.A. Delport, K. Jackman, P.l. Roux, C.J. Fourie, JoSIM – superconductor SPICE simulator. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019)
432.
Zurück zum Zitat S. Polonsky, P. Shevchenko, A. Kirichenko, D. Zinoviev, A. Rylyakov, PSCAN’96: new software for simulation and optimization of complex RSFQ circuits. IEEE Trans. Appl. Supercond. 7(2), 2685–2689 (1997)CrossRef S. Polonsky, P. Shevchenko, A. Kirichenko, D. Zinoviev, A. Rylyakov, PSCAN’96: new software for simulation and optimization of complex RSFQ circuits. IEEE Trans. Appl. Supercond. 7(2), 2685–2689 (1997)CrossRef
434.
Zurück zum Zitat N.R. Werthamer, Nonlinear self-coupling of Josephson radiation in superconducting tunnel junctions. Phys. Rev. 147, 255–263 (1966)CrossRef N.R. Werthamer, Nonlinear self-coupling of Josephson radiation in superconducting tunnel junctions. Phys. Rev. 147, 255–263 (1966)CrossRef
435.
Zurück zum Zitat A. Odintsov, V. Semenov, A. Zorin, Specific problems of numerical analysis of the Josephson junction circuits. IEEE Trans. Magn. 23(2), 763–766 (1987)CrossRef A. Odintsov, V. Semenov, A. Zorin, Specific problems of numerical analysis of the Josephson junction circuits. IEEE Trans. Magn. 23(2), 763–766 (1987)CrossRef
436.
Zurück zum Zitat A. De Lustrac, P. Crozat, R. Adde, A picosecond Josephson junction model for circuit simulation. Revue de Physique Appliquée 21(5), 319–326 (1986)CrossRef A. De Lustrac, P. Crozat, R. Adde, A picosecond Josephson junction model for circuit simulation. Revue de Physique Appliquée 21(5), 319–326 (1986)CrossRef
437.
Zurück zum Zitat S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015) S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)
439.
Zurück zum Zitat J.C. Rautio, R.F. Harrington, An electromagnetic time-harmonic analysis of shielded microstrip circuits. IEEE Trans. Microwave Theory Tech. 35(8), 726–730 (1987)CrossRef J.C. Rautio, R.F. Harrington, An electromagnetic time-harmonic analysis of shielded microstrip circuits. IEEE Trans. Microwave Theory Tech. 35(8), 726–730 (1987)CrossRef
441.
Zurück zum Zitat K. U-Yen, K. Rostem, E.J. Wollack, Modeling strategies for superconducting microstrip transmission line structures. IEEE Trans. Appl. Supercond. 28(6), 1–5 (2018)CrossRef K. U-Yen, K. Rostem, E.J. Wollack, Modeling strategies for superconducting microstrip transmission line structures. IEEE Trans. Appl. Supercond. 28(6), 1–5 (2018)CrossRef
442.
Zurück zum Zitat M. Kamon, M.J. Tsuk, J.K. White, FASTHENRY: a multipole-accelerated 3-D inductance extraction program. IEEE Trans. Microwave Theory Tech. 42(9), 1750–1758 (1994)CrossRef M. Kamon, M.J. Tsuk, J.K. White, FASTHENRY: a multipole-accelerated 3-D inductance extraction program. IEEE Trans. Microwave Theory Tech. 42(9), 1750–1758 (1994)CrossRef
443.
Zurück zum Zitat I.P. Vaisband, R. Jakushokas, M. Popovich, A.V. Mezhiba, S. Köse, E.G. Friedman, On-Chip Power Delivery and Management, 4th edn. (Springer, Berlin, 2016)CrossRef I.P. Vaisband, R. Jakushokas, M. Popovich, A.V. Mezhiba, S. Köse, E.G. Friedman, On-Chip Power Delivery and Management, 4th edn. (Springer, Berlin, 2016)CrossRef
444.
Zurück zum Zitat B. Guan, M.J. Wengler, P. Rott, M.J. Feldman, Inductance estimation for complicated superconducting thin film structures with a finite segment method. IEEE Trans. Appl. Supercond. 7(2), 2776–2779 (1997)CrossRef B. Guan, M.J. Wengler, P. Rott, M.J. Feldman, Inductance estimation for complicated superconducting thin film structures with a finite segment method. IEEE Trans. Appl. Supercond. 7(2), 2776–2779 (1997)CrossRef
446.
Zurück zum Zitat K. Jackman, C.J. Fourie, Fast multicore FastHenry and a tetrahedral modeling method for inductance extraction of complex 3D geometries, in Proceedings of the IEEE International Superconductive Electronics Conference (2015), pp. 1–3 K. Jackman, C.J. Fourie, Fast multicore FastHenry and a tetrahedral modeling method for inductance extraction of complex 3D geometries, in Proceedings of the IEEE International Superconductive Electronics Conference (2015), pp. 1–3
447.
Zurück zum Zitat K. Jackman, C.J. Fourie, Tetrahedral modeling method for inductance extraction of complex 3-D superconducting structures. IEEE Trans. Appl. Supercond. 26(3), 1–5 (2016)CrossRef K. Jackman, C.J. Fourie, Tetrahedral modeling method for inductance extraction of complex 3-D superconducting structures. IEEE Trans. Appl. Supercond. 26(3), 1–5 (2016)CrossRef
448.
Zurück zum Zitat M.M. Khapaev, Inductance extraction of multilayer finite-thickness superconductor circuits. IEEE Trans. Microwave Theory Tech. 49(1), 217–220 (2001)CrossRef M.M. Khapaev, Inductance extraction of multilayer finite-thickness superconductor circuits. IEEE Trans. Microwave Theory Tech. 49(1), 217–220 (2001)CrossRef
449.
Zurück zum Zitat M.M. Khapaev, A.Y. Kidiyarova-Shevchenko, P. Magnelind, M.Y. Kupriyanov, 3D-MLSI: software package for inductance calculation in multilayer superconducting integrated circuits. IEEE Trans. Appl. Supercond. 11(1), 1090–1093 (2001)CrossRef M.M. Khapaev, A.Y. Kidiyarova-Shevchenko, P. Magnelind, M.Y. Kupriyanov, 3D-MLSI: software package for inductance calculation in multilayer superconducting integrated circuits. IEEE Trans. Appl. Supercond. 11(1), 1090–1093 (2001)CrossRef
450.
Zurück zum Zitat M.M. Khapaev, M.Y. Kupriyanov, E. Goldobin, M. Siegel, Current distribution simulation for superconducting multi-layered structures. Supercond. Sci. Technol. 16(1), 24–27 (2002)CrossRef M.M. Khapaev, M.Y. Kupriyanov, E. Goldobin, M. Siegel, Current distribution simulation for superconducting multi-layered structures. Supercond. Sci. Technol. 16(1), 24–27 (2002)CrossRef
451.
Zurück zum Zitat M.M. Khapaev, M.Y. Kupriyanov, Inductance extraction of superconductor structures with internal current sources. Supercond. Sci. Technol. 28(5), 055013 (2015) M.M. Khapaev, M.Y. Kupriyanov, Inductance extraction of superconductor structures with internal current sources. Supercond. Sci. Technol. 28(5), 055013 (2015)
452.
Zurück zum Zitat N. Yoshikawa, J. Koshiyama, Top-down RSFQ logic design based on a binary decision diagram. IEEE Trans. Appl. Supercond. 11(1), 1098–1101 (2001)CrossRef N. Yoshikawa, J. Koshiyama, Top-down RSFQ logic design based on a binary decision diagram. IEEE Trans. Appl. Supercond. 11(1), 1098–1101 (2001)CrossRef
453.
Zurück zum Zitat S.B. Akers, Binary decision diagrams. IEEE Trans. Comput. C-27(6), 509–516 (1978)CrossRef S.B. Akers, Binary decision diagrams. IEEE Trans. Comput. C-27(6), 509–516 (1978)CrossRef
455.
Zurück zum Zitat J.A. Darringer, W.H. Joyner, C.L. Berman, L. Trevillyan, Logic synthesis through local transformations. IBM J. Res. Develop. 25(4), 272–280 (1981)CrossRef J.A. Darringer, W.H. Joyner, C.L. Berman, L. Trevillyan, Logic synthesis through local transformations. IBM J. Res. Develop. 25(4), 272–280 (1981)CrossRef
457.
Zurück zum Zitat Q. Xu, C.L. Ayala, N. Takeuchi, Y. Murai, Y. Yamanashi, N. Yoshikawa, Synthesis flow for cell-based adiabatic quantum-flux-parametron structural circuit generation with HDL back-end verification. IEEE Trans. Appl. Supercond. 27(4), 1–5 (2017) Q. Xu, C.L. Ayala, N. Takeuchi, Y. Murai, Y. Yamanashi, N. Yoshikawa, Synthesis flow for cell-based adiabatic quantum-flux-parametron structural circuit generation with HDL back-end verification. IEEE Trans. Appl. Supercond. 27(4), 1–5 (2017)
458.
Zurück zum Zitat M. Pedram, Y. Wang, Design automation methodology and tools for superconductive electronics, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2018), pp. 1–6 M. Pedram, Y. Wang, Design automation methodology and tools for superconductive electronics, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2018), pp. 1–6
459.
Zurück zum Zitat N. Katam, A. Shafaei, M. Pedram, Design of complex rapid single-flux-quantum cells with application to logic synthesis, in Proceedings of the IEEE International Superconductive Electronics Conference (2017), pp. 1–3 N. Katam, A. Shafaei, M. Pedram, Design of complex rapid single-flux-quantum cells with application to logic synthesis, in Proceedings of the IEEE International Superconductive Electronics Conference (2017), pp. 1–3
460.
Zurück zum Zitat G. Pasandi, M. Pedram, PBMap: a path balancing technology mapping algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29(4), 1–14 (2019)CrossRef G. Pasandi, M. Pedram, PBMap: a path balancing technology mapping algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29(4), 1–14 (2019)CrossRef
461.
Zurück zum Zitat T. Soyata, E.G. Friedman, J.H. Mulligan Jr., Incorporating interconnect, register, and clock distribution delays into the retiming process. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 16(1), 105–120 (1997)CrossRef T. Soyata, E.G. Friedman, J.H. Mulligan Jr., Incorporating interconnect, register, and clock distribution delays into the retiming process. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 16(1), 105–120 (1997)CrossRef
462.
Zurück zum Zitat N. Kito, K. Takagi, N. Takagi, Conversion of a CMOS logic circuit design to an RSFQ design considering latching function of RSFQ logic gates. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)CrossRef N. Kito, K. Takagi, N. Takagi, Conversion of a CMOS logic circuit design to an RSFQ design considering latching function of RSFQ logic gates. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)CrossRef
463.
Zurück zum Zitat C.M. Fiduccia, R.M. Mattheyses, A linear-time heuristic for improving network partitions, in Proceedings of the ACM/IEEE Design Automation Conference (1982), pp. 175–181 C.M. Fiduccia, R.M. Mattheyses, A linear-time heuristic for improving network partitions, in Proceedings of the ACM/IEEE Design Automation Conference (1982), pp. 175–181
464.
Zurück zum Zitat M. Tanaka, K. Obata, Y. Ito, S. Takeshima, M. Sato, K. Takagi, N. Takagi, H. Akaike, A. Fujimaki, Automated passive-transmission-line routing tool for single-flux-quantum circuits based on A* algorithm. IEICE Trans. Electron. E93.C(4), 435–439 (2010) M. Tanaka, K. Obata, Y. Ito, S. Takeshima, M. Sato, K. Takagi, N. Takagi, H. Akaike, A. Fujimaki, Automated passive-transmission-line routing tool for single-flux-quantum circuits based on A* algorithm. IEICE Trans. Electron. E93.C(4), 435–439 (2010)
465.
Zurück zum Zitat P.E. Hart, N.J. Nilsson, B. Raphael, A formal basis for the heuristic determination of minimum cost paths. IEEE Trans. Syst. Sci. Cybernet. 4(2), 100–107 (1968)CrossRef P.E. Hart, N.J. Nilsson, B. Raphael, A formal basis for the heuristic determination of minimum cost paths. IEEE Trans. Syst. Sci. Cybernet. 4(2), 100–107 (1968)CrossRef
466.
Zurück zum Zitat N. Kito, K. Takagi, N. Takagi, Automatic wire-routing of SFQ digital circuits considering wire-length matching. IEEE Trans. Appl. Supercond. 26(3), 1–5 (2016)CrossRef N. Kito, K. Takagi, N. Takagi, Automatic wire-routing of SFQ digital circuits considering wire-length matching. IEEE Trans. Appl. Supercond. 26(3), 1–5 (2016)CrossRef
467.
Zurück zum Zitat C.H. Papadimitriou, K. Steiglitz, Combinatorial Optimization: Algorithms and Complexity (Dover, Mineola, 1998) C.H. Papadimitriou, K. Steiglitz, Combinatorial Optimization: Algorithms and Complexity (Dover, Mineola, 1998)
468.
Zurück zum Zitat N. Kito, K. Takagi, N. Takagi, A fast wire-routing method and an automatic layout tool for RSFQ digital circuits considering wirelength matching. IEEE Trans. Appl. Supercond. 28(4), 1–5 (2018)CrossRef N. Kito, K. Takagi, N. Takagi, A fast wire-routing method and an automatic layout tool for RSFQ digital circuits considering wirelength matching. IEEE Trans. Appl. Supercond. 28(4), 1–5 (2018)CrossRef
469.
Zurück zum Zitat S. Kirkpatrick, C.D. Gelatt, M.P. Vecchi, Optimization by simulated annealing. Science 220(4598), 671–680 (1983)MathSciNetCrossRef S. Kirkpatrick, C.D. Gelatt, M.P. Vecchi, Optimization by simulated annealing. Science 220(4598), 671–680 (1983)MathSciNetCrossRef
470.
Zurück zum Zitat P. Cheng, K. Takagi, T. Ho, Multi-terminal routing with length-matching for rapid single flux quantum circuits, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2018), pp. 1–6 P. Cheng, K. Takagi, T. Ho, Multi-terminal routing with length-matching for rapid single flux quantum circuits, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2018), pp. 1–6
472.
Zurück zum Zitat C.Y. Lee, An algorithm for path connections and its applications. IRE Trans. Electron. Comput. EC-10(3), 346–365 (1961)MathSciNetCrossRef C.Y. Lee, An algorithm for path connections and its applications. IRE Trans. Electron. Comput. EC-10(3), 346–365 (1961)MathSciNetCrossRef
473.
Zurück zum Zitat M. Kim, D. Lee, I.L. Markov, SimPL: an effective placement algorithm. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 31(1), 50–60 (2012)CrossRef M. Kim, D. Lee, I.L. Markov, SimPL: an effective placement algorithm. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 31(1), 50–60 (2012)CrossRef
474.
Zurück zum Zitat T. Dejima, K. Takagi, N. Takagi, Placement and routing methods based on mixed wiring of JTLs and PTLs for RSFQ circuits, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3 T. Dejima, K. Takagi, N. Takagi, Placement and routing methods based on mixed wiring of JTLs and PTLs for RSFQ circuits, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3
475.
Zurück zum Zitat S. Nath, K. English, A. Derrickson, A. Haslam, J.F. McDonald, An automatic placement and routing methodology for asynchronous SFQ circuit design. IEEE Trans. Appl. Supercond. 30(3), 1–10 (2020)CrossRef S. Nath, K. English, A. Derrickson, A. Haslam, J.F. McDonald, An automatic placement and routing methodology for asynchronous SFQ circuit design. IEEE Trans. Appl. Supercond. 30(3), 1–10 (2020)CrossRef
476.
Zurück zum Zitat Y. Murai, C.L. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa, Development and demonstration of routing and placement EDA tools for large-scale adiabatic quantum-flux-parametron circuits. IEEE Trans. Appl. Supercond. 27(6), 1–9 (2017)CrossRef Y. Murai, C.L. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa, Development and demonstration of routing and placement EDA tools for large-scale adiabatic quantum-flux-parametron circuits. IEEE Trans. Appl. Supercond. 27(6), 1–9 (2017)CrossRef
477.
Zurück zum Zitat T. Tanaka, C.L. Ayala, Q. Xu, R. Saito, N. Yoshikawa, Fabrication of adiabatic quantum-flux-parametron integrated circuits using an automatic placement tool based on genetic algorithms. IEEE Trans. Appl. Supercond. 29(5), 1–6 (2019) T. Tanaka, C.L. Ayala, Q. Xu, R. Saito, N. Yoshikawa, Fabrication of adiabatic quantum-flux-parametron integrated circuits using an automatic placement tool based on genetic algorithms. IEEE Trans. Appl. Supercond. 29(5), 1–6 (2019)
478.
Zurück zum Zitat J. Lienig, K. Thulasiraman, A genetic algorithm for channel routing in VLSI circuits. Evol. Comput. 1(4), 293–311 (1993)CrossRef J. Lienig, K. Thulasiraman, A genetic algorithm for channel routing in VLSI circuits. Evol. Comput. 1(4), 293–311 (1993)CrossRef
479.
Zurück zum Zitat T. Yoshimura, E.S. Kuh, Efficient algorithms for channel routing. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1(1), 25–35 (1982)CrossRef T. Yoshimura, E.S. Kuh, Efficient algorithms for channel routing. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1(1), 25–35 (1982)CrossRef
480.
Zurück zum Zitat M. El-Moursy, E.G. Friedman, On-Chip Inductive Interconnect Design Methodologies (VDM Verlag Dr. Muller Aktiengesellschaft & Company, Riga, 2009) M. El-Moursy, E.G. Friedman, On-Chip Inductive Interconnect Design Methodologies (VDM Verlag Dr. Muller Aktiengesellschaft & Company, Riga, 2009)
481.
Zurück zum Zitat M.E. Celik, A. Bozbey, Statistical timing analysis tool for SFQ cells (STATS), in Proceedings of the IEEE International Superconductive Electronics Conference, No. PA23 (2013), pp. 1–3 M.E. Celik, A. Bozbey, Statistical timing analysis tool for SFQ cells (STATS), in Proceedings of the IEEE International Superconductive Electronics Conference, No. PA23 (2013), pp. 1–3
482.
Zurück zum Zitat T. Kawaguchi, K. Takagi, N. Takagi, Static timing analysis of rapid single-flux-quantum circuits, in Proceedings of the Workshop on Synthesis and System Integration of Mixed Information Technologies (2016), pp. 341–345 T. Kawaguchi, K. Takagi, N. Takagi, Static timing analysis of rapid single-flux-quantum circuits, in Proceedings of the Workshop on Synthesis and System Integration of Mixed Information Technologies (2016), pp. 341–345
483.
Zurück zum Zitat J.A. Delport, C.J. Fourie, A static timing analysis tool for RSFQ and ERSFQ superconducting digital circuit applications. IEEE Trans. Appl. Supercond. 28(5), 1–5 (2018)CrossRef J.A. Delport, C.J. Fourie, A static timing analysis tool for RSFQ and ERSFQ superconducting digital circuit applications. IEEE Trans. Appl. Supercond. 28(5), 1–5 (2018)CrossRef
484.
Zurück zum Zitat M. Dorojevets, Architecture and design of an 8-Bit FLUX-1 superconductor RSFQ microprocessor. Int. J. High Speed Electron. Syst. 12(2), 521–529 (2002)CrossRef M. Dorojevets, Architecture and design of an 8-Bit FLUX-1 superconductor RSFQ microprocessor. Int. J. High Speed Electron. Syst. 12(2), 521–529 (2002)CrossRef
485.
Zurück zum Zitat S.-Y. Huang, K.-T.T. Cheng, Formal Equivalence Checking and Design Debugging (Springer Science & Business Media, Berlin, 2012) S.-Y. Huang, K.-T.T. Cheng, Formal Equivalence Checking and Design Debugging (Springer Science & Business Media, Berlin, 2012)
486.
Zurück zum Zitat A. Fayyazi, S. Nazarian, M. Pedram, qEC: a logical equivalence checking framework targeting SFQ superconducting circuits, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3 A. Fayyazi, S. Nazarian, M. Pedram, qEC: a logical equivalence checking framework targeting SFQ superconducting circuits, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3
487.
Zurück zum Zitat A.D. Wong, K. Su, H. Sun, A. Fayyazi, M. Pedram, S. Nazarian, VeriSFQ: a semi-formal verification framework and benchmark for single flux quantum technology, in Proceedings of the IEEE International Symposium on Quality Electronic Design (2019), pp. 224–230 A.D. Wong, K. Su, H. Sun, A. Fayyazi, M. Pedram, S. Nazarian, VeriSFQ: a semi-formal verification framework and benchmark for single flux quantum technology, in Proceedings of the IEEE International Symposium on Quality Electronic Design (2019), pp. 224–230
488.
Zurück zum Zitat I. Stotland, D. Shpagilev, N. Starikovskaya, UVM based approaches to functional verification of communication controllers of microprocessor systems, in Proceedings of the IEEE East-West Design & Test Symposium (2016), pp. 1–4 I. Stotland, D. Shpagilev, N. Starikovskaya, UVM based approaches to functional verification of communication controllers of microprocessor systems, in Proceedings of the IEEE East-West Design & Test Symposium (2016), pp. 1–4
489.
Zurück zum Zitat V. Adler, C.-H. Cheah, K. Gaj, D.K. Brock, E.G. Friedman, A cadence-based design environment for single flux quantum circuits. IEEE Trans. Appl. Supercond. 7(2), 3294–3297 (1997)CrossRef V. Adler, C.-H. Cheah, K. Gaj, D.K. Brock, E.G. Friedman, A cadence-based design environment for single flux quantum circuits. IEEE Trans. Appl. Supercond. 7(2), 3294–3297 (1997)CrossRef
490.
Zurück zum Zitat R.M.C. Roberts, C.J. Fourie, Layout-versus-schematic verification for superconductive integrated circuits. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015) R.M.C. Roberts, C.J. Fourie, Layout-versus-schematic verification for superconductive integrated circuits. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)
Metadaten
Titel
EDA for Superconductive Electronics
verfasst von
Gleb Krylov
Tahereh Jabbari
Eby G. Friedman
Copyright-Jahr
2024
DOI
https://doi.org/10.1007/978-3-031-47475-0_14

Neuer Inhalt