Skip to main content

2024 | OriginalPaper | Buchkapitel

26. Inductive Coupling Noise in Multilayer Superconductive ICs

verfasst von : Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Erschienen in: Single Flux Quantum Integrated Circuit Design

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Large-scale rapid single flux quantum circuits primarily use passive transmission lines for routing signals among standard cells. This type of interconnect consists of driver/receiver circuits and a stripline or microstripline. This interconnect topology poses unique challenges on the routing process as the available routing resources are severely limited. Different alternative topologies have been considered to reduce the number of metal layers required by these structures. These topologies, however, increase the inductive noise between adjacent striplines as compared to topologies with additional ground planes. Another source of undesirable inductive coupling is the bias lines. Bias current distribution networks are connected to each cell. Despite the relatively small mutual inductance, high current within these bias lines can also couple to other circuits and transmission lines. Superconductive circuits are highly sensitive to inductance variations and parasitic coupling, further exacerbating this coupling issue. In this chapter, issues related to inductive noise coupling in multilayer superconductive ICs are discussed, and approaches to characterize and mitigate inductive noise in these systems are described. Guidelines to mitigate the deleterious effects of noise coupling are presented.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
39.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019) T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019)
42.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020) T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)
57.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759 T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759
58.
Zurück zum Zitat R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef
59.
Zurück zum Zitat T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5 T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5
60.
Zurück zum Zitat T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021) T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021)
61.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef
63.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023) T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023)
65.
Zurück zum Zitat T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5 T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5
87.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022) T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022)
110.
Zurück zum Zitat G. Krylov, E.G. Friedman, Partitioning RSFQ circuits for current recycling. IEEE Trans. Appl. Supercond. 31(5), 1–6 (2021)CrossRef G. Krylov, E.G. Friedman, Partitioning RSFQ circuits for current recycling. IEEE Trans. Appl. Supercond. 31(5), 1–6 (2021)CrossRef
111.
Zurück zum Zitat S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef
131.
Zurück zum Zitat G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020) G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020)
132.
Zurück zum Zitat G. Krylov, E.G. Friedman, Asynchronous dynamic single flux quantum majority gates. IEEE Trans. Appl. Supercond. 30(5), 1–7 (2020). Art no. 1300907 G. Krylov, E.G. Friedman, Asynchronous dynamic single flux quantum majority gates. IEEE Trans. Appl. Supercond. 30(5), 1–7 (2020). Art no. 1300907
137.
Zurück zum Zitat T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023) T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023)
149.
Zurück zum Zitat G. Krylov, E.G. Friedman, Bias distribution in ERSFQ VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2020), pp. 1–5 G. Krylov, E.G. Friedman, Bias distribution in ERSFQ VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2020), pp. 1–5
159.
Zurück zum Zitat G. Krylov, E.G. Friedman, Design for testability of SFQ circuits. IEEE Trans. Appl. Supercond. 27(8), 1–7 (2017)CrossRef G. Krylov, E.G. Friedman, Design for testability of SFQ circuits. IEEE Trans. Appl. Supercond. 27(8), 1–7 (2017)CrossRef
179.
Zurück zum Zitat A.M. Kadin, R.J. Webber, S. Sarwana, Effects of superconducting return currents on RSFQ circuit performance. IEEE Trans. Appl. Supercond. 15(2), 280–283 (2005)CrossRef A.M. Kadin, R.J. Webber, S. Sarwana, Effects of superconducting return currents on RSFQ circuit performance. IEEE Trans. Appl. Supercond. 15(2), 280–283 (2005)CrossRef
242.
Zurück zum Zitat T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review
413.
Zurück zum Zitat A. Inamdar, D. Amparo, B. Sahoo, J. Ren, A. Sahu, RSFQ/ERSFQ cell library with improved circuit optimization, timing verification, and test characterization. IEEE Trans. Appl. Supercond. 27(4), 1–9 (2017)CrossRef A. Inamdar, D. Amparo, B. Sahoo, J. Ren, A. Sahu, RSFQ/ERSFQ cell library with improved circuit optimization, timing verification, and test characterization. IEEE Trans. Appl. Supercond. 27(4), 1–9 (2017)CrossRef
429.
Zurück zum Zitat S.R. Whiteley, Josephson junctions in SPICE3, IEEE Trans. Magn. 27(2), 2902–2905 (1991)CrossRef S.R. Whiteley, Josephson junctions in SPICE3, IEEE Trans. Magn. 27(2), 2902–2905 (1991)CrossRef
566.
Zurück zum Zitat C.J. Fourie, C. Shawawreh, I.V. Vernik, T.V. Filippov, High-accuracy InductEx calibration sets for MIT-LL SFQ4ee and SFQ5ee processes. IEEE Trans. Appl. Supercond. 27(2), 1–5 (2017)CrossRef C.J. Fourie, C. Shawawreh, I.V. Vernik, T.V. Filippov, High-accuracy InductEx calibration sets for MIT-LL SFQ4ee and SFQ5ee processes. IEEE Trans. Appl. Supercond. 27(2), 1–5 (2017)CrossRef
594.
Zurück zum Zitat C.J. Fourie, C.L. Ayala, L. Schindler, T. Tanaka, N. Yoshikawa, Design and characterization of track routing architecture for RSFQ and AQFP circuits in a multilayer process. IEEE Trans. Appl. Supercond. 30(6), 1–9 (2020)CrossRef C.J. Fourie, C.L. Ayala, L. Schindler, T. Tanaka, N. Yoshikawa, Design and characterization of track routing architecture for RSFQ and AQFP circuits in a multilayer process. IEEE Trans. Appl. Supercond. 30(6), 1–9 (2020)CrossRef
595.
Zurück zum Zitat C.R. Paul, A simple SPICE model for coupled transmission lines, in Proceedings of the IEEE International Symposium on Electromagnetic Compatibility (1988), pp. 327–333 C.R. Paul, A simple SPICE model for coupled transmission lines, in Proceedings of the IEEE International Symposium on Electromagnetic Compatibility (1988), pp. 327–333
599.
Zurück zum Zitat J. Zhang, E.G. Friedman, Crosstalk modeling for coupled RLC interconnects with application to shield insertion. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 14(6), 641–646 (2006) J. Zhang, E.G. Friedman, Crosstalk modeling for coupled RLC interconnects with application to shield insertion. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 14(6), 641–646 (2006)
Metadaten
Titel
Inductive Coupling Noise in Multilayer Superconductive ICs
verfasst von
Gleb Krylov
Tahereh Jabbari
Eby G. Friedman
Copyright-Jahr
2024
DOI
https://doi.org/10.1007/978-3-031-47475-0_26

Neuer Inhalt